OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [button_db.vhd] - Rev 261

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
261 Increased delay timer to 7 bits for button press detection. jshamlet 1409d 18h /open8_urisc/trunk/VHDL/button_db.vhd
218 Revision sections added,
vdsm8.vhd added.
jshamlet 1480d 16h /open8_urisc/trunk/VHDL/button_db.vhd
191 Cleaned up comments, added back the OPEN8_NULLBUS constant, and added some new modules for ADCs and LCD displays.
Also made the button input module more configurable by moving the debounce code to a separate entity and using generics to instantiate it.
jshamlet 1494d 19h /open8_urisc/trunk/VHDL/button_db.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.