OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [mavg_8ch_16b_64d.vhd] - Rev 315

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
315 Added Terasic DE0 Nano ADC interface and rolling averager. jshamlet 350d 21h /open8_urisc/trunk/VHDL/mavg_8ch_16b_64d.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.