OpenCores
URL https://opencores.org/ocsvn/or1200_soc/or1200_soc/trunk

Subversion Repositories or1200_soc

[/] [or1200_soc/] [trunk/] [boards/] [de1_board/] [sw/] [load_this_to_ram/] [boot_rom_0.txt] - Rev 22

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
22 qaztronic 5221d 21h /or1200_soc/trunk/boards/de1_board/sw/load_this_to_ram/boot_rom_0.txt
21 added de1 board qaztronic 5510d 06h /or1200_soc/trunk/boards/de1_board/sw/load_this_to_ram/boot_rom_0.txt

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.