OpenCores
URL https://opencores.org/ocsvn/pcie_ds_dma/pcie_ds_dma/trunk

Subversion Repositories pcie_ds_dma

[/] [pcie_ds_dma/] [trunk/] [projects/] [sp605_lx45t_wishbone/] [src/] [testbench/] [log_example/] [console_test_read_reg.log] - Rev 53

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
53 fixes #67 - correction ctrl_ram_cmd.vhd for Spartan6 (is_dsp48=0) dsmv 2993d 09h /pcie_ds_dma/trunk/projects/sp605_lx45t_wishbone/src/testbench/log_example/console_test_read_reg.log
40 set wb_clk to 32 MHz dsmv 3941d 13h /pcie_ds_dma/trunk/projects/sp605_lx45t_wishbone/src/testbench/log_example/console_test_read_reg.log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.