OpenCores
URL https://opencores.org/ocsvn/rs232_interface/rs232_interface/trunk

Subversion Repositories rs232_interface

[/] [rs232_interface/] [trunk/] [README] - Rev 12

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
12 Updated news of uart.vhd commit. akram.mashni 4870d 23h /rs232_interface/trunk/README
10 Implemented asynchronous mode and RX clock regeneration.
NOT TESTED !!!
akram.mashni 4878d 17h /rs232_interface/trunk/README
9 Updated change log. akram.mashni 4916d 08h /rs232_interface/trunk/README
8 Added Recommended Tools akram.mashni 4916d 08h /rs232_interface/trunk/README
4 Added "Change Log".
Added "About"
akram.mashni 4926d 06h /rs232_interface/trunk/README
2 Initial Commit luciorp 4982d 19h /rs232_interface/trunk/README

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.