OpenCores
URL https://opencores.org/ocsvn/sha256_hash_core/sha256_hash_core/trunk

Subversion Repositories sha256_hash_core

[/] [sha256_hash_core/] [trunk/] - Rev 10

Rev

Filtering Options

Clear current filter

Rev Log message Author Age Path
10 Optimized control logic;
Reduced one clock cycle for full last blocks, eliminated sch_ld redundant logic.
Improved error detection logic, eliminating the bytes error register.
Updated testbench with write violations and full block corner case tests.
jdoin 2761d 17h /sha256_hash_core/trunk/
9 Optimized control logic;
Changed 'ack' semantics to 'data write'.
Changed error logic to detect invalid data writes.
jdoin 2776d 14h /sha256_hash_core/trunk/
8 Streamlined VHDL code to eliminate wire and combinational "initialization", changed all 'X' to 'U' on input signals, consisted comments. jdoin 2846d 19h /sha256_hash_core/trunk/
7 Delete intermediate files from repository.
All commits are done after a Project/Cleanup.
jdoin 2849d 05h /sha256_hash_core/trunk/
6 Added Sim_test_1.png and Sim_test_8.png simulation pictures.
Changed testbench for faster data input.
Changed License text on all files.
Consolidated file header info.
jdoin 2849d 05h /sha256_hash_core/trunk/
5 Reduced images sizes. jdoin 2850d 08h /sha256_hash_core/trunk/
4 Reduced block diagrams image sizes. jdoin 2850d 08h /sha256_hash_core/trunk/
3 Added GV_SHA256 block logic schematics. jdoin 2850d 11h /sha256_hash_core/trunk/
2 SHA256 RTL code simulated and verified, to all NIST verification vectors.
Pre-par synthesis show 74MHz clock rate, with no pipelining.
jdoin 2850d 13h /sha256_hash_core/trunk/
1 The project and the structure was created root 2854d 12h /sha256_hash_core/trunk/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.