OpenCores
URL https://opencores.org/ocsvn/srdydrdy_lib/srdydrdy_lib/trunk

Subversion Repositories srdydrdy_lib

[/] - Rev 12

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
12 Added absolute priority arbitration to ring to avoid
having two ring taps transmit at same time
ghutchis 5240d 05h /
11 Updated bridge example to fix a number of small bugs.
First packet now exits bridge from all ports.
ghutchis 5241d 04h /
10 Fixed "locked" variable in rrslow ghutchis 5241d 09h /
9 Added rx_gigmac, additional debug work on concentrator & fib ghutchis 5241d 09h /
8 Added compiling version of bridge example ghutchis 5242d 21h /
7 Added rrslow ghutchis 5245d 01h /
6 Modified "B" output buffer for full-rate operation ghutchis 5247d 09h /
5 Added new component for port ring ghutchis 5248d 01h /
4 Added example directory with basic bridge ghutchis 5248d 20h /
3 Added small/synchronizer FIFO, along with minimal testbench ghutchis 5249d 19h /
2 Initial commit of directory structure and basic components ghutchis 5254d 04h /
1 The project and the structure was created root 5261d 20h /

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.