OpenCores
URL https://opencores.org/ocsvn/tiny_aes/tiny_aes/trunk

Subversion Repositories tiny_aes

[/] [tiny_aes/] [trunk] - Rev 13

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
13 update document, add how to encrypt homer.hsing 4099d 07h /tiny_aes/trunk
12 add test vector generator ~ ~ homer.hsing 4143d 06h /tiny_aes/trunk
11 Update document. Add graph of core structure homer.hsing 4181d 00h /tiny_aes/trunk
10 Update document. Changes in section performance: "128 bytes"->"128 bits", and throughput. homer.hsing 4213d 08h /tiny_aes/trunk
9 Change timing of testbench, following timing constraints homer.hsing 4223d 04h /tiny_aes/trunk
8 Update Xilinx ISE constraint file homer.hsing 4223d 04h /tiny_aes/trunk
7 Upload specification homer.hsing 4225d 07h /tiny_aes/trunk
6 Add AES-192. Less memory bit in lookup_table. homer.hsing 4226d 22h /tiny_aes/trunk
5 AES 256 :) homer.hsing 4227d 19h /tiny_aes/trunk
4 Add "_128" suffix to aes_128 related module to prevent name conflict homer.hsing 4227d 22h /tiny_aes/trunk
3 Add synthesis constraints, testbench simulation script homer.hsing 4228d 22h /tiny_aes/trunk
2 Release under Apache License v2.0 homer.hsing 4228d 23h /tiny_aes/trunk
1 The project and the structure was created root 4229d 20h /tiny_aes/trunk

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.