OpenCores
URL https://opencores.org/ocsvn/tiny_aes/tiny_aes/trunk

Subversion Repositories tiny_aes

[/] - Rev 9

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
9 Change timing of testbench, following timing constraints homer.hsing 4222d 20h /
8 Update Xilinx ISE constraint file homer.hsing 4222d 21h /
7 Upload specification homer.hsing 4225d 00h /
6 Add AES-192. Less memory bit in lookup_table. homer.hsing 4226d 14h /
5 AES 256 :) homer.hsing 4227d 12h /
4 Add "_128" suffix to aes_128 related module to prevent name conflict homer.hsing 4227d 14h /
3 Add synthesis constraints, testbench simulation script homer.hsing 4228d 14h /
2 Release under Apache License v2.0 homer.hsing 4228d 15h /
1 The project and the structure was created root 4229d 13h /

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.