OpenCores
URL https://opencores.org/ocsvn/uart_fpga_slow_control_migrated/uart_fpga_slow_control_migrated/trunk

Subversion Repositories uart_fpga_slow_control_migrated

[/] [uart_fpga_slow_control/] - Rev 34

Rev

Filtering Options

Clear current filter

Rev Log message Author Age Path
34 ADDED: Philips_PC16550dn_datasheet.pdf aborga 4409d 18h /uart_fpga_slow_control/
33 UPDATE: visio simplified diagram aborga 4549d 11h /uart_fpga_slow_control/
32 ADDED: OpenCores_description_html.txt aborga 4550d 10h /uart_fpga_slow_control/
31 ADDED: html version of the different site section back-upped in txt format aborga 4550d 12h /uart_fpga_slow_control/
30 MODIFIED: cosmetic changes on the SoftwareFolder.txt file aborga 4550d 13h /uart_fpga_slow_control/
29 UPDATED: project documentation for the new software features aborga 4550d 13h /uart_fpga_slow_control/
28 ADDED: software folder with python script (simple but stable) and .bat file to load RealTerm with parameters (extremely unstable) aborga 4550d 14h /uart_fpga_slow_control/
27 MODIFIED: small description improvement aborga 4557d 14h /uart_fpga_slow_control/
26 ADDED: screenshot of the simulation output with tb_uart_control.vhd (project tested with modelsim 6) aborga 4631d 11h /uart_fpga_slow_control/
25 MODIFIED: small comment improvement aborga 4631d 13h /uart_fpga_slow_control/
24 UPDATED: added folder testbenches with a generic tb_UART_control.vhd testbench aborga 4631d 14h /uart_fpga_slow_control/
23 MODIFIED:

renamed lantronix_input and lantronix_output (historical)
to uart_din and uart_dout for consistency

propagated also changes in all comments
aborga 4631d 15h /uart_fpga_slow_control/
22 aborga 4631d 15h /uart_fpga_slow_control/
21 MODIFIED:

renamed lantronix_input and lantronix_output (historical)
to uart_din and uart_dout for consistency

propagated also changes in all comments
aborga 4631d 16h /uart_fpga_slow_control/
20 MODIFIED: block diagram with new namings for uart din and dout aborga 4631d 16h /uart_fpga_slow_control/
19 MODIFIED:

renamed lantronix_input and lantronix_output (historical)
to uart_din and uart_dout for consistency

propagated also changes in all comments
aborga 4631d 16h /uart_fpga_slow_control/
18 MODIFIED: removed unnecessary libraries aborga 4632d 12h /uart_fpga_slow_control/
17 DELETED: useless package folder aborga 4632d 14h /uart_fpga_slow_control/
16 MODIFIED: added

uart_rst_i : in std_logic;
uart_leds_o : out std_logic_vector(7 downto 0);

in the entity declaration
aborga 4632d 14h /uart_fpga_slow_control/
15 UPDATED: email address aborga 4634d 13h /uart_fpga_slow_control/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.