OpenCores
URL https://opencores.org/ocsvn/versatile_counter/versatile_counter/trunk

Subversion Repositories versatile_counter

[/] [versatile_counter/] [trunk/] [rtl/] [verilog/] [versatile_counter_generator.php] - Rev 36

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
36 corrected polynom with length 20 unneback 4859d 03h /versatile_counter/trunk/rtl/verilog/versatile_counter_generator.php
34 updated level output to be correct without clear and rew unneback 4901d 07h /versatile_counter/trunk/rtl/verilog/versatile_counter_generator.php
33 changed level compare from q to qi to be able to handle situation where qi is not output as q unneback 4998d 07h /versatile_counter/trunk/rtl/verilog/versatile_counter_generator.php
32 unneback 5187d 05h /versatile_counter/trunk/rtl/verilog/versatile_counter_generator.php
30 small error fixes unneback 5193d 00h /versatile_counter/trunk/rtl/verilog/versatile_counter_generator.php
29 small error fixes unneback 5193d 00h /versatile_counter/trunk/rtl/verilog/versatile_counter_generator.php
28 small error fixes unneback 5193d 00h /versatile_counter/trunk/rtl/verilog/versatile_counter_generator.php
27 small error fixes unneback 5193d 00h /versatile_counter/trunk/rtl/verilog/versatile_counter_generator.php
26 small error fixes unneback 5193d 00h /versatile_counter/trunk/rtl/verilog/versatile_counter_generator.php
25 small error fixes unneback 5193d 01h /versatile_counter/trunk/rtl/verilog/versatile_counter_generator.php
24 unneback 5193d 04h /versatile_counter/trunk/rtl/verilog/versatile_counter_generator.php
23 unneback 5193d 04h /versatile_counter/trunk/rtl/verilog/versatile_counter_generator.php
22 unneback 5193d 04h /versatile_counter/trunk/rtl/verilog/versatile_counter_generator.php
20 major updated
PHP script generator
unneback 5194d 22h /versatile_counter/trunk/rtl/verilog/versatile_counter_generator.php

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.