OpenCores
URL https://opencores.org/ocsvn/versatile_library/versatile_library/trunk

Subversion Repositories versatile_library

[/] - Rev 43

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
43 added logic for parity generation and check unneback 4840d 14h /
42 updated mux_andor unneback 4844d 13h /
41 typo in registers.v unneback 4844d 15h /
40 new build environment with custom.v added as a result file unneback 4844d 15h /
39 added simple port prio based wb arbiter unneback 4845d 12h /
38 updated andor mux unneback 4845d 12h /
37 corrected polynom with length 20 unneback 4851d 09h /
36 added generic andor_mux unneback 4852d 17h /
35 added vl_mux2_andor and vl_mux3_andor localparam unneback 4853d 04h /
34 added vl_mux2_andor and vl_mux3_andor unneback 4853d 04h /
33 updated wb3wb3_bridge unneback 4866d 06h /
32 added vl_pll for ALTERA (cycloneIII) unneback 4873d 16h /
31 sync FIFO updated unneback 4893d 12h /
30 updated counter for level1 and level2 function unneback 4893d 12h /
29 updated counter for level1 and level2 function unneback 4893d 12h /
28 added sync simplex FIFO unneback 4894d 13h /
27 added sync simplex FIFO unneback 4894d 13h /
26 typo in sync FIFO, added cnt_lfsr_ce_q cnt_lfsr_ce_clear_q unneback 4894d 15h /
25 added sync FIFO unneback 4895d 04h /
24 added vl_dff_ce_set unneback 4896d 12h /
23 fixed port map error in async fifo 1r1w unneback 4897d 03h /
22 added binary counters unneback 4897d 08h /
21 reg -> wire in and or mux in logic unneback 4898d 04h /
20 naming convention vl_ unneback 4899d 15h /
19 naming convention vl_ unneback 4899d 15h /
18 naming convention vl_ unneback 4899d 15h /
17 unneback 4963d 04h /
16 converting utility for ROM unneback 4963d 16h /
15 added delay line unneback 4969d 12h /
14 reg -> wire for various signals unneback 4969d 17h /

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.