OpenCores
URL https://opencores.org/ocsvn/versatile_library/versatile_library/trunk

Subversion Repositories versatile_library

[/] - Rev 47

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
47 added help program for LFSR counters unneback 4964d 18h /
46 updated parity unneback 4965d 19h /
45 updated timing in io models unneback 4967d 14h /
44 added target independet IO functionns unneback 4970d 13h /
43 added logic for parity generation and check unneback 4974d 17h /
42 updated mux_andor unneback 4978d 16h /
41 typo in registers.v unneback 4978d 18h /
40 new build environment with custom.v added as a result file unneback 4978d 18h /
39 added simple port prio based wb arbiter unneback 4979d 15h /
38 updated andor mux unneback 4979d 15h /
37 corrected polynom with length 20 unneback 4985d 12h /
36 added generic andor_mux unneback 4986d 20h /
35 added vl_mux2_andor and vl_mux3_andor localparam unneback 4987d 07h /
34 added vl_mux2_andor and vl_mux3_andor unneback 4987d 07h /
33 updated wb3wb3_bridge unneback 5000d 09h /
32 added vl_pll for ALTERA (cycloneIII) unneback 5007d 19h /
31 sync FIFO updated unneback 5027d 15h /
30 updated counter for level1 and level2 function unneback 5027d 15h /
29 updated counter for level1 and level2 function unneback 5027d 15h /
28 added sync simplex FIFO unneback 5028d 16h /
27 added sync simplex FIFO unneback 5028d 17h /
26 typo in sync FIFO, added cnt_lfsr_ce_q cnt_lfsr_ce_clear_q unneback 5028d 18h /
25 added sync FIFO unneback 5029d 07h /
24 added vl_dff_ce_set unneback 5030d 15h /
23 fixed port map error in async fifo 1r1w unneback 5031d 06h /
22 added binary counters unneback 5031d 11h /
21 reg -> wire in and or mux in logic unneback 5032d 07h /
20 naming convention vl_ unneback 5033d 18h /
19 naming convention vl_ unneback 5033d 18h /
18 naming convention vl_ unneback 5033d 18h /

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.