OpenCores
URL https://opencores.org/ocsvn/versatile_library/versatile_library/trunk

Subversion Repositories versatile_library

[/] - Rev 47

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
47 added help program for LFSR counters unneback 4825d 18h /
46 updated parity unneback 4826d 20h /
45 updated timing in io models unneback 4828d 14h /
44 added target independet IO functionns unneback 4831d 14h /
43 added logic for parity generation and check unneback 4835d 17h /
42 updated mux_andor unneback 4839d 17h /
41 typo in registers.v unneback 4839d 18h /
40 new build environment with custom.v added as a result file unneback 4839d 19h /
39 added simple port prio based wb arbiter unneback 4840d 16h /
38 updated andor mux unneback 4840d 16h /
37 corrected polynom with length 20 unneback 4846d 12h /
36 added generic andor_mux unneback 4847d 21h /
35 added vl_mux2_andor and vl_mux3_andor localparam unneback 4848d 08h /
34 added vl_mux2_andor and vl_mux3_andor unneback 4848d 08h /
33 updated wb3wb3_bridge unneback 4861d 10h /
32 added vl_pll for ALTERA (cycloneIII) unneback 4868d 20h /
31 sync FIFO updated unneback 4888d 15h /
30 updated counter for level1 and level2 function unneback 4888d 16h /
29 updated counter for level1 and level2 function unneback 4888d 16h /
28 added sync simplex FIFO unneback 4889d 17h /
27 added sync simplex FIFO unneback 4889d 17h /
26 typo in sync FIFO, added cnt_lfsr_ce_q cnt_lfsr_ce_clear_q unneback 4889d 18h /
25 added sync FIFO unneback 4890d 08h /
24 added vl_dff_ce_set unneback 4891d 16h /
23 fixed port map error in async fifo 1r1w unneback 4892d 06h /
22 added binary counters unneback 4892d 11h /
21 reg -> wire in and or mux in logic unneback 4893d 08h /
20 naming convention vl_ unneback 4894d 18h /
19 naming convention vl_ unneback 4894d 18h /
18 naming convention vl_ unneback 4894d 19h /

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.