OpenCores
URL https://opencores.org/ocsvn/versatile_library/versatile_library/trunk

Subversion Repositories versatile_library

[/] - Rev 66

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
66 RAM_BE ack_o vector unneback 4828d 13h /
65 RAM_BE system verilog version unneback 4828d 14h /
64 SPR reset value unneback 4828d 14h /
63 WB_B3_RAM_BE updates, bte port map + define dependency unneback 4828d 14h /
62 WB_B3_RAM_BE updates, bte port map + define dependency unneback 4828d 15h /
61 WB_B3_RAM_BE updates, bte port map + define dependency unneback 4828d 15h /
60 added wb b3 byte enable memory, added test in makefile through icarus, typo in latch fixed unneback 4830d 10h /
59 added WB RAM B3 with byte enable unneback 4831d 10h /
58 corrected EXT unit, rewrite of FF1, FL1 unneback 4847d 17h /
57 corrected EXT unit, rewrite of FF1, FL1 unneback 4847d 17h /
56 WB B4 RAM we fix unneback 4860d 10h /
55 added WB_B4RAM with byte enable unneback 4862d 16h /
54 added WB_B4RAM with byte enable unneback 4862d 16h /
53 added WB_B4RAM with byte enable unneback 4862d 16h /
52 added WB_B4RAM with byte enable unneback 4862d 16h /
51 added WB_B4RAM with byte enable unneback 4862d 17h /
50 added WB_B4RAM with byte enable unneback 4862d 17h /
49 added WB_B4RAM with byte enable unneback 4862d 17h /
48 wb updated unneback 4869d 11h /
47 added help program for LFSR counters unneback 4964d 14h /
46 updated parity unneback 4965d 15h /
45 updated timing in io models unneback 4967d 10h /
44 added target independet IO functionns unneback 4970d 09h /
43 added logic for parity generation and check unneback 4974d 13h /
42 updated mux_andor unneback 4978d 13h /
41 typo in registers.v unneback 4978d 14h /
40 new build environment with custom.v added as a result file unneback 4978d 14h /
39 added simple port prio based wb arbiter unneback 4979d 11h /
38 updated andor mux unneback 4979d 11h /
37 corrected polynom with length 20 unneback 4985d 08h /

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.