OpenCores
URL https://opencores.org/ocsvn/versatile_library/versatile_library/trunk

Subversion Repositories versatile_library

[/] - Rev 63

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
63 WB_B3_RAM_BE updates, bte port map + define dependency unneback 4692d 12h /
62 WB_B3_RAM_BE updates, bte port map + define dependency unneback 4692d 12h /
61 WB_B3_RAM_BE updates, bte port map + define dependency unneback 4692d 12h /
60 added wb b3 byte enable memory, added test in makefile through icarus, typo in latch fixed unneback 4694d 07h /
59 added WB RAM B3 with byte enable unneback 4695d 08h /
58 corrected EXT unit, rewrite of FF1, FL1 unneback 4711d 14h /
57 corrected EXT unit, rewrite of FF1, FL1 unneback 4711d 14h /
56 WB B4 RAM we fix unneback 4724d 07h /
55 added WB_B4RAM with byte enable unneback 4726d 14h /
54 added WB_B4RAM with byte enable unneback 4726d 14h /
53 added WB_B4RAM with byte enable unneback 4726d 14h /
52 added WB_B4RAM with byte enable unneback 4726d 14h /
51 added WB_B4RAM with byte enable unneback 4726d 14h /
50 added WB_B4RAM with byte enable unneback 4726d 14h /
49 added WB_B4RAM with byte enable unneback 4726d 14h /
48 wb updated unneback 4733d 08h /
47 added help program for LFSR counters unneback 4828d 11h /
46 updated parity unneback 4829d 13h /
45 updated timing in io models unneback 4831d 07h /
44 added target independet IO functionns unneback 4834d 07h /
43 added logic for parity generation and check unneback 4838d 10h /
42 updated mux_andor unneback 4842d 10h /
41 typo in registers.v unneback 4842d 11h /
40 new build environment with custom.v added as a result file unneback 4842d 12h /
39 added simple port prio based wb arbiter unneback 4843d 09h /
38 updated andor mux unneback 4843d 09h /
37 corrected polynom with length 20 unneback 4849d 05h /
36 added generic andor_mux unneback 4850d 14h /
35 added vl_mux2_andor and vl_mux3_andor localparam unneback 4851d 01h /
34 added vl_mux2_andor and vl_mux3_andor unneback 4851d 01h /

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.