OpenCores
URL https://opencores.org/ocsvn/wb_z80/wb_z80/trunk

Subversion Repositories wb_z80

[/] [wb_z80/] [trunk/] - Rev 39

Rev

Filtering Options

Clear current filter

Rev Log message Author Age Path
39 New directory structure. root 5527d 22h /wb_z80/trunk/
38 Included some Xilinx compiler related fixes from Howard Harte bporcella 6038d 11h /trunk/
37 Included some typo-type fixes from Howard Harte bporcella 6038d 11h /trunk/
36 added test for HH's IN bug bporcella 6042d 15h /trunk/
35 added fix for IN bug found by howard bporcella 6042d 15h /trunk/
34 revert to rev 1 as rev 2 seems unreadable binary file checkin problem ? bporcella 6044d 13h /trunk/
33 revert to rev 1 as rev 2 seems unreadable binary file checkin problem ? bporcella 6044d 13h /trunk/
32 fixed bugs and augmented instruction test.
ex de hl bug fixed thanks Howard Harte
ret condition (ret not taken bug) thanks - Stephen Warren
bporcella 6052d 12h /trunk/
31 some fixes found in synthesis bporcella 7268d 12h /trunk/
30 test executes cleanly bporcella 7275d 18h /trunk/
29 logic movd to z80_sram.v bporcella 7275d 18h /trunk/
28 logic movd to z80_bist_logic.v bporcella 7275d 18h /trunk/
27 Instruction test (with interrupts) runs!!! bporcella 7275d 18h /trunk/
26 inst test got to the worked macro bporcella 7282d 06h /trunk/
25 instruction test getting to final stages bporcella 7284d 10h /trunk/
24 testbed built and verification in progress bporcella 7289d 14h /trunk/
23 testbed built and verification in progress bporcella 7289d 17h /trunk/
22 testbed files bporcella 7289d 17h /trunk/
21 build script bporcella 7289d 18h /trunk/
20 check in test files and assembler manual bporcella 7289d 18h /trunk/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.