OpenCores
URL https://opencores.org/ocsvn/csa/csa/trunk

Subversion Repositories csa

[/] [csa/] [trunk/] [quartus10/] - Rev 28

Rev

Directory listing | View Log | RSS feed

Last modification

  • Rev 28, 2009-04-15 13:24:16 GMT
  • Author: simon111
  • Log message:
    create a quartus10 project for test the core

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.