OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

[/] [eco32/] [trunk/] [fpga/] [mc/] [boards/] [xsa-xst-3/] - Rev 290

Rev

Directory listing | View Log | Compare with Previous | RSS feed

Last modification

  • Rev 290, 2015-08-09 14:42:24 GMT
  • Author: hellwig
  • Log message:
    Wishbone-compatible bus signals
Path
/eco32/trunk/fpga/mc/boards/s3e-500/build/eco32.bit
/eco32/trunk/fpga/mc/boards/s3e-500/build/eco32.xise
/eco32/trunk/fpga/mc/boards/s3e-500/doc/dac/dac.v
/eco32/trunk/fpga/mc/boards/s3e-500/doc/dac/dac_test.v
/eco32/trunk/fpga/mc/boards/s3e-500/src/bio/bio.v
/eco32/trunk/fpga/mc/boards/s3e-500/src/busctrl
/eco32/trunk/fpga/mc/boards/s3e-500/src/clk_rst/clk_rst.v
/eco32/trunk/fpga/mc/boards/s3e-500/src/spi/spi.v
/eco32/trunk/fpga/mc/boards/s3e-500/src/toplevel/eco32.ucf
/eco32/trunk/fpga/mc/boards/s3e-500/src/toplevel/eco32.v
/eco32/trunk/fpga/mc/boards/xsa-xst-3/build/eco32.bit
/eco32/trunk/fpga/mc/boards/xsa-xst-3/build/eco32.xise
/eco32/trunk/fpga/mc/boards/xsa-xst-3/doc/dac/dac.v
/eco32/trunk/fpga/mc/boards/xsa-xst-3/doc/dac/dac_test.v
/eco32/trunk/fpga/mc/boards/xsa-xst-3/src/bio/bio.v
/eco32/trunk/fpga/mc/boards/xsa-xst-3/src/busctrl
/eco32/trunk/fpga/mc/boards/xsa-xst-3/src/clk_rst/clk_rst.v
/eco32/trunk/fpga/mc/boards/xsa-xst-3/src/dac/dac.v
/eco32/trunk/fpga/mc/boards/xsa-xst-3/src/toplevel/eco32.ucf
/eco32/trunk/fpga/mc/boards/xsa-xst-3/src/toplevel/eco32.v
/eco32/trunk/fpga/mc/src/cpu/cpu.v
/eco32/trunk/fpga/mc/src/cpu/cpu_bus.v
/eco32/trunk/fpga/mc/src/cpu/cpu_core.v
/eco32/trunk/fpga/mc/src/dsk/atabuf.v
/eco32/trunk/fpga/mc/src/dsk/atactrl.v
/eco32/trunk/fpga/mc/src/dsk/ataio.v
/eco32/trunk/fpga/mc/src/dsk/dsk.v
/eco32/trunk/fpga/mc/src/dsp/bpp3/display.v
/eco32/trunk/fpga/mc/src/dsp/bpp3/dsp.v
/eco32/trunk/fpga/mc/src/dsp/bpp3/pixel.v
/eco32/trunk/fpga/mc/src/dsp/bpp9/display.v
/eco32/trunk/fpga/mc/src/dsp/bpp9/dsp.v
/eco32/trunk/fpga/mc/src/dsp/bpp9/pixel.v
/eco32/trunk/fpga/mc/src/dsp/common/chrgen.v
/eco32/trunk/fpga/mc/src/dsp/common/dspmem.v
/eco32/trunk/fpga/mc/src/dsp/common/timing.v
/eco32/trunk/fpga/mc/src/fms/fms.v
/eco32/trunk/fpga/mc/src/kbd/kbd.v
/eco32/trunk/fpga/mc/src/kbd/keyboard.v
/eco32/trunk/fpga/mc/src/ram/ddr/ddr_sdram.v
/eco32/trunk/fpga/mc/src/ram/ddr/ram.v
/eco32/trunk/fpga/mc/src/ram/sdr/ram.v
/eco32/trunk/fpga/mc/src/rom/28F128J3/rom.v
/eco32/trunk/fpga/mc/src/rom/S29AL016M/rom.v
/eco32/trunk/fpga/mc/src/ser/rcv.v
/eco32/trunk/fpga/mc/src/ser/rcvbuf.v
/eco32/trunk/fpga/mc/src/ser/ser.v
/eco32/trunk/fpga/mc/src/ser/xmt.v
/eco32/trunk/fpga/mc/src/ser/xmtbuf.v
/eco32/trunk/fpga/mc/src/tmr/tmr.v

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.