OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

[/] [ion/] [trunk/] [vhdl/] [demo/] - Rev 116

Rev

Directory listing | View Log | Compare with Previous | RSS feed

Last modification

  • Rev 116, 2011-04-04 11:33:27 GMT
  • Author: ja_rd
  • Log message:
    Updated demo 'top' file for DE-1 board
    - Added reset button debouncing
    - Added template for using different clock input
    - Uses clock rate generic

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.