OpenCores
URL https://opencores.org/ocsvn/tinycpu/tinycpu/trunk

Subversion Repositories tinycpu

[/] [tinycpu/] [trunk/] [docs/] - Rev 17

Rev

Directory listing | View Log | Compare with Previous | RSS feed

Last modification

  • Rev 17, 2012-05-11 07:39:27 GMT
  • Author: earlz
  • Log message:
    Added fetch component for fetching from memory to instruction register
    Added additional testing for carryover to make sure it's correct

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.