OpenCores
URL https://opencores.org/ocsvn/a-z80/a-z80/trunk

Subversion Repositories a-z80

[/] [a-z80/] [trunk/] - Rev 6

Rev

Directory listing | View Log | Compare with Previous | RSS feed

Last modification

  • Rev 6, 2015-01-23 04:40:58 GMT
  • Author: gdevic
  • Log message:
    Added deployment folder with all files needed to use the CPU
    Changed ZX Spectrum project to use deployment files as example
    Changed extension for Verilog include files from *.i to *.vh
    Updated documentation; added section on file generators
    Minor corrections and fixes to various files
Path
/a-z80/trunk/cpu/bus/bus_switch.sv
/a-z80/trunk/cpu/control/execute.sv
/a-z80/trunk/cpu/control/exec_matrix.i
/a-z80/trunk/cpu/control/exec_matrix.vh
/a-z80/trunk/cpu/control/exec_module.i
/a-z80/trunk/cpu/control/exec_module.vh
/a-z80/trunk/cpu/control/exec_zero.i
/a-z80/trunk/cpu/control/exec_zero.vh
/a-z80/trunk/cpu/control/genmatrix.py
/a-z80/trunk/cpu/control/genref.py
/a-z80/trunk/cpu/control/simulation/modelsim/test_control.mpf
/a-z80/trunk/cpu/control/timing_macros.i
/a-z80/trunk/cpu/deploy
/a-z80/trunk/cpu/deploy/address_latch.v
/a-z80/trunk/cpu/deploy/address_mux.v
/a-z80/trunk/cpu/deploy/address_pins.v
/a-z80/trunk/cpu/deploy/alu.v
/a-z80/trunk/cpu/deploy/alu_bit_select.v
/a-z80/trunk/cpu/deploy/alu_control.v
/a-z80/trunk/cpu/deploy/alu_core.v
/a-z80/trunk/cpu/deploy/alu_flags.v
/a-z80/trunk/cpu/deploy/alu_mux_2.v
/a-z80/trunk/cpu/deploy/alu_mux_2z.v
/a-z80/trunk/cpu/deploy/alu_mux_3z.v
/a-z80/trunk/cpu/deploy/alu_mux_4.v
/a-z80/trunk/cpu/deploy/alu_mux_8.v
/a-z80/trunk/cpu/deploy/alu_prep_daa.v
/a-z80/trunk/cpu/deploy/alu_select.v
/a-z80/trunk/cpu/deploy/alu_shifter_core.v
/a-z80/trunk/cpu/deploy/alu_slice.v
/a-z80/trunk/cpu/deploy/bus_control.v
/a-z80/trunk/cpu/deploy/bus_switch.sv
/a-z80/trunk/cpu/deploy/clk_delay.v
/a-z80/trunk/cpu/deploy/control_pins_n.v
/a-z80/trunk/cpu/deploy/core.vh
/a-z80/trunk/cpu/deploy/data_pins.v
/a-z80/trunk/cpu/deploy/data_switch.v
/a-z80/trunk/cpu/deploy/data_switch_mask.v
/a-z80/trunk/cpu/deploy/decode_state.v
/a-z80/trunk/cpu/deploy/execute.sv
/a-z80/trunk/cpu/deploy/exec_matrix.vh
/a-z80/trunk/cpu/deploy/exec_module.vh
/a-z80/trunk/cpu/deploy/exec_zero.vh
/a-z80/trunk/cpu/deploy/globals.vh
/a-z80/trunk/cpu/deploy/inc_dec.v
/a-z80/trunk/cpu/deploy/inc_dec_2bit.v
/a-z80/trunk/cpu/deploy/interrupts.v
/a-z80/trunk/cpu/deploy/ir.v
/a-z80/trunk/cpu/deploy/memory_ifc.v
/a-z80/trunk/cpu/deploy/pin_control.v
/a-z80/trunk/cpu/deploy/pla_decode.sv
/a-z80/trunk/cpu/deploy/readme.txt
/a-z80/trunk/cpu/deploy/reg_control.v
/a-z80/trunk/cpu/deploy/reg_file.v
/a-z80/trunk/cpu/deploy/reg_latch.v
/a-z80/trunk/cpu/deploy/resets.v
/a-z80/trunk/cpu/deploy/sequencer.v
/a-z80/trunk/cpu/deploy/z80_top_direct_n.sv
/a-z80/trunk/cpu/top-level-files.txt
/a-z80/trunk/cpu/toplevel/core.i
/a-z80/trunk/cpu/toplevel/core.vh
/a-z80/trunk/cpu/toplevel/genfuse.py
/a-z80/trunk/cpu/toplevel/genglobals.py
/a-z80/trunk/cpu/toplevel/globals.i
/a-z80/trunk/cpu/toplevel/globals.vh
/a-z80/trunk/cpu/toplevel/test_fuse.i
/a-z80/trunk/cpu/toplevel/test_fuse.sv
/a-z80/trunk/cpu/toplevel/test_fuse.vh
/a-z80/trunk/cpu/toplevel/z80_top_direct_n.sv
/a-z80/trunk/cpu/toplevel/z80_top_ifc_n.sv
/a-z80/trunk/docs/A-Z80_UsersGuide.docx
/a-z80/trunk/docs/A-Z80_UsersGuide.pdf
/a-z80/trunk/host/zxspectrum/zxspectrum_board.qsf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.