OpenCores
URL https://opencores.org/ocsvn/core1990_interlaken/core1990_interlaken/trunk

Subversion Repositories core1990_interlaken

[/] [core1990_interlaken/] - Rev 6

Rev

Directory listing | View Log | Compare with Previous | RSS feed

Last modification

  • Rev 6, 2018-06-13 09:09:20 GMT
  • Author: N.Boukadida
  • Log message:
    ADDED: initial revision of the whole core source code!8)
Path
/core1990_interlaken/trunk/gateware/constraints/Core1990_Constraints.xdc
/core1990_interlaken/trunk/gateware/scripts/implementation.tcl
/core1990_interlaken/trunk/gateware/simulation/Core1990_Test_tb.vhd
/core1990_interlaken/trunk/gateware/simulation/crc-32_tb.vhd
/core1990_interlaken/trunk/gateware/simulation/decoder_tb.vhd
/core1990_interlaken/trunk/gateware/simulation/deframing_burst_tb.vhd
/core1990_interlaken/trunk/gateware/simulation/deframing_meta_tb.vhd
/core1990_interlaken/trunk/gateware/simulation/descrambler_tb.vhd
/core1990_interlaken/trunk/gateware/simulation/encoder_tb.vhd
/core1990_interlaken/trunk/gateware/simulation/framing_burst_tb.vhd
/core1990_interlaken/trunk/gateware/simulation/framing_meta_tb.vhd
/core1990_interlaken/trunk/gateware/simulation/interlaken_interface_tb.vhd
/core1990_interlaken/trunk/gateware/simulation/interlaken_receiver_tb.vhd
/core1990_interlaken/trunk/gateware/simulation/interlaken_transmitter_tb.vhd
/core1990_interlaken/trunk/gateware/simulation/scrambler_tb.vhd
/core1990_interlaken/trunk/gateware/simulation/testbench_interlaken_interface_behav.wcfg
/core1990_interlaken/trunk/gateware/sources/crc
/core1990_interlaken/trunk/gateware/sources/crc/crc-24.vhd
/core1990_interlaken/trunk/gateware/sources/crc/crc-32.vhd
/core1990_interlaken/trunk/gateware/sources/interlaken_interface.vhd
/core1990_interlaken/trunk/gateware/sources/ip_cores
/core1990_interlaken/trunk/gateware/sources/ip_cores/clk_40MHz.xci
/core1990_interlaken/trunk/gateware/sources/ip_cores/ILA_Data.xci
/core1990_interlaken/trunk/gateware/sources/ip_cores/RX_FIFO.xci
/core1990_interlaken/trunk/gateware/sources/ip_cores/Transceiver_10g_64b67b.xci
/core1990_interlaken/trunk/gateware/sources/ip_cores/TX_FIFO.xci
/core1990_interlaken/trunk/gateware/sources/ip_cores/vio_0.xci
/core1990_interlaken/trunk/gateware/sources/receiver
/core1990_interlaken/trunk/gateware/sources/receiver/decoder.vhd
/core1990_interlaken/trunk/gateware/sources/receiver/deframing_burst.vhd
/core1990_interlaken/trunk/gateware/sources/receiver/deframing_meta.vhd
/core1990_interlaken/trunk/gateware/sources/receiver/descrambler.vhd
/core1990_interlaken/trunk/gateware/sources/receiver/interlaken_receiver.vhd
/core1990_interlaken/trunk/gateware/sources/test
/core1990_interlaken/trunk/gateware/sources/test/Core1990_Test.vhd
/core1990_interlaken/trunk/gateware/sources/test/data_generator.vhd
/core1990_interlaken/trunk/gateware/sources/test/pipeline.vhd
/core1990_interlaken/trunk/gateware/sources/transmitter
/core1990_interlaken/trunk/gateware/sources/transmitter/encoder.vhd
/core1990_interlaken/trunk/gateware/sources/transmitter/framing_burst.vhd
/core1990_interlaken/trunk/gateware/sources/transmitter/framing_meta.vhd
/core1990_interlaken/trunk/gateware/sources/transmitter/interlaken_transmitter.vhd
/core1990_interlaken/trunk/gateware/sources/transmitter/scrambler.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.