OpenCores
URL https://opencores.org/ocsvn/core1990_interlaken/core1990_interlaken/trunk

Subversion Repositories core1990_interlaken

[/] [core1990_interlaken/] - Rev 8

Rev

Directory listing | View Log | Compare with Previous | RSS feed

Last modification

  • Rev 8, 2018-06-29 15:26:26 GMT
  • Author: N.Boukadida
  • Log message:
    Added improved documentation and firmware has been improved and tested (2 VC707)
Path
/core1990_interlaken/trunk/documentation/protocol_survey_report/Abbreviations/Abbreviations.tex
/core1990_interlaken/trunk/documentation/protocol_survey_report/Bibliography/Bibliography.tex
/core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Hardware_TX.png
/core1990_interlaken/trunk/documentation/protocol_survey_report/Images/IP_TransceiverConf1.png
/core1990_interlaken/trunk/documentation/protocol_survey_report/Images/IP_TransceiverConf2.png
/core1990_interlaken/trunk/documentation/protocol_survey_report/Images/IP_TransceiverConf3.png
/core1990_interlaken/trunk/documentation/protocol_survey_report/Protocol_Exploration.pdf
/core1990_interlaken/trunk/documentation/protocol_survey_report/Protocol_Exploration.tex
/core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Hardware_Implementation.tex
/core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Obtaining_and_building.tex
/core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Version_History.tex
/core1990_interlaken/trunk/gateware/constraints/Core1990_Constraints.xdc
/core1990_interlaken/trunk/gateware/sources/interlaken_interface.vhd
/core1990_interlaken/trunk/gateware/sources/ip_cores/clk_40MHz.xci
/core1990_interlaken/trunk/gateware/sources/ip_cores/ILA_Data.xci
/core1990_interlaken/trunk/gateware/sources/ip_cores/RX_FIFO.xci
/core1990_interlaken/trunk/gateware/sources/ip_cores/Transceiver_10g_64b67b.xci
/core1990_interlaken/trunk/gateware/sources/ip_cores/TX_FIFO.xci
/core1990_interlaken/trunk/gateware/sources/ip_cores/vio_0.xci
/core1990_interlaken/trunk/gateware/sources/receiver/decoder.vhd
/core1990_interlaken/trunk/gateware/sources/receiver/deframing_burst.vhd
/core1990_interlaken/trunk/gateware/sources/receiver/deframing_meta.vhd
/core1990_interlaken/trunk/gateware/sources/receiver/descrambler.vhd
/core1990_interlaken/trunk/gateware/sources/receiver/interlaken_receiver.vhd
/core1990_interlaken/trunk/gateware/sources/test/Core1990_Test.vhd
/core1990_interlaken/trunk/gateware/sources/test/data_generator.vhd
/core1990_interlaken/trunk/gateware/sources/test/pipeline.vhd
/core1990_interlaken/trunk/gateware/sources/transmitter/encoder.vhd
/core1990_interlaken/trunk/gateware/sources/transmitter/framing_burst.vhd
/core1990_interlaken/trunk/gateware/sources/transmitter/framing_meta.vhd
/core1990_interlaken/trunk/gateware/sources/transmitter/interlaken_transmitter.vhd
/core1990_interlaken/trunk/gateware/sources/transmitter/scrambler.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.