OpenCores
URL https://opencores.org/ocsvn/core1990_interlaken/core1990_interlaken/trunk

Subversion Repositories core1990_interlaken

[/] [core1990_interlaken/] - Rev 9

Rev

Directory listing | View Log | Compare with Previous | RSS feed

Last modification

  • Rev 9, 2018-07-09 12:35:45 GMT
  • Author: N.Boukadida
  • Log message:
    Updated documentation and cleaned up the code
Path
/core1990_interlaken/trunk/documentation/presentations/Point-to-point_protocol_exploration_HVA.pdf
/core1990_interlaken/trunk/documentation/protocol_survey_report/Bibliography/Bibliography.tex
/core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Core1990
/core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Core1990/Core1990_ExDesUsage.png
/core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Core1990/Core1990_logo.jpg
/core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Core1990/Core1990_Simulation.png
/core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Core1990/Core1990_VivadoCore.png
/core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Core1990/Core1990_VivadoExDes.png
/core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Core1990/IP_CLK40Conf1.png
/core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Core1990/IP_CLK40Conf2.png
/core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Core1990/IP_CLK40Conf3.png
/core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Core1990/IP_FIFOTXConf1.png
/core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Core1990/IP_FIFOTXConf2.png
/core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Core1990/IP_FIFOTXConf3.png
/core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Core1990/IP_FIFOTXConf4.png
/core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Core1990/IP_TransceiverConf1.png
/core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Core1990/IP_TransceiverConf2.png
/core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Core1990/IP_TransceiverConf3.png
/core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Core1990_Overview.png
/core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Hardware_RX.png
/core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Test_Hardware_1.png
/core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Test_Hardware_2.png
/core1990_interlaken/trunk/documentation/protocol_survey_report/Images/Test_Hardware_3.png
/core1990_interlaken/trunk/documentation/protocol_survey_report/Images/VC707_Clock_Schematic.png
/core1990_interlaken/trunk/documentation/protocol_survey_report/Images/VC707_Multi_Board.jpg
/core1990_interlaken/trunk/documentation/protocol_survey_report/Images/VC707_Multi_Board_Waveform.png
/core1990_interlaken/trunk/documentation/protocol_survey_report/Images/VC707_SMA.jpg
/core1990_interlaken/trunk/documentation/protocol_survey_report/Protocol_Exploration.pdf
/core1990_interlaken/trunk/documentation/protocol_survey_report/Protocol_Exploration.tex
/core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Conclusion.tex
/core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Hardware_Implementation.tex
/core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Obtaining_and_building.tex
/core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Progress.tex
/core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Requirements.tex
/core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Structure_of_protocols.tex
/core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Summary.tex
/core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Survey_CERN.tex
/core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Survey_Specifications.tex
/core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Survey_Standard.tex
/core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Survey_Vendor.tex
/core1990_interlaken/trunk/documentation/protocol_survey_report/Sections/Test_runs.tex
/core1990_interlaken/trunk/gateware/constraints/debug_probes.xdc
/core1990_interlaken/trunk/gateware/scripts/simulation.tcl
/core1990_interlaken/trunk/gateware/scripts/vivado_import_virtex7.tcl
/core1990_interlaken/trunk/gateware/scripts/vivado_import_virtex7_exampledesign.tcl
/core1990_interlaken/trunk/gateware/simulation/Core1990_Test_tb.vhd
/core1990_interlaken/trunk/gateware/simulation/decoder_tb.vhd
/core1990_interlaken/trunk/gateware/simulation/deframing_burst_tb.vhd
/core1990_interlaken/trunk/gateware/simulation/deframing_meta_tb.vhd
/core1990_interlaken/trunk/gateware/simulation/descrambler_tb.vhd
/core1990_interlaken/trunk/gateware/simulation/encoder_tb.vhd
/core1990_interlaken/trunk/gateware/simulation/framing_burst_tb.vhd
/core1990_interlaken/trunk/gateware/simulation/framing_meta_tb.vhd
/core1990_interlaken/trunk/gateware/simulation/interlaken_interface_tb.vhd
/core1990_interlaken/trunk/gateware/simulation/interlaken_receiver_tb.vhd
/core1990_interlaken/trunk/gateware/simulation/interlaken_transmitter_tb.vhd
/core1990_interlaken/trunk/gateware/simulation/scrambler_tb.vhd
/core1990_interlaken/trunk/gateware/sources/example
/core1990_interlaken/trunk/gateware/sources/example/core1990_test.vhd
/core1990_interlaken/trunk/gateware/sources/example/data_generator.vhd
/core1990_interlaken/trunk/gateware/sources/example/pipeline.vhd
/core1990_interlaken/trunk/gateware/sources/interlaken_interface.vhd
/core1990_interlaken/trunk/gateware/sources/receiver/decoder.vhd
/core1990_interlaken/trunk/gateware/sources/receiver/deframing_burst.vhd
/core1990_interlaken/trunk/gateware/sources/receiver/deframing_meta.vhd
/core1990_interlaken/trunk/gateware/sources/receiver/descrambler.vhd
/core1990_interlaken/trunk/gateware/sources/receiver/interlaken_receiver.vhd
/core1990_interlaken/trunk/gateware/sources/test
/core1990_interlaken/trunk/gateware/sources/transmitter/encoder.vhd
/core1990_interlaken/trunk/gateware/sources/transmitter/framing_burst.vhd
/core1990_interlaken/trunk/gateware/sources/transmitter/framing_meta.vhd
/core1990_interlaken/trunk/gateware/sources/transmitter/interlaken_transmitter.vhd
/core1990_interlaken/trunk/gateware/sources/transmitter/scrambler.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.