OpenCores
URL https://opencores.org/ocsvn/core1990_interlaken/core1990_interlaken/trunk

Subversion Repositories core1990_interlaken

[/] - Rev 11

Rev

Directory listing | View Log | Compare with Previous | RSS feed

Last modification

  • Rev 11, 2020-01-23 12:02:39 GMT
  • Author: N.Boukadida
  • Log message:
    Added improvements and report by L. Verwoert.
    Now tested/verified on VC707 and VC709 boards.
Path
/core1990_interlaken/trunk/documentation/felix_from_interlaken_report
/core1990_interlaken/trunk/documentation/felix_from_interlaken_report/Felix_from_interlaken_Leonie.pdf
/core1990_interlaken/trunk/gateware/constraints/Core1990_Constraints.xdc
/core1990_interlaken/trunk/gateware/constraints/core1990_constraints_vc707.xdc
/core1990_interlaken/trunk/gateware/constraints/core1990_constraints_vc709.xdc
/core1990_interlaken/trunk/gateware/scripts/vivado_import_virtex7.tcl
/core1990_interlaken/trunk/gateware/scripts/vivado_import_virtex707.tcl
/core1990_interlaken/trunk/gateware/scripts/vivado_import_virtex709.tcl
/core1990_interlaken/trunk/gateware/sources
/core1990_interlaken/trunk/gateware/sources/interlaken
/core1990_interlaken/trunk/gateware/sources/interlaken/crc
/core1990_interlaken/trunk/gateware/sources/interlaken/crc/crc-24.vhd
/core1990_interlaken/trunk/gateware/sources/interlaken/crc/crc-32.vhd
/core1990_interlaken/trunk/gateware/sources/interlaken/interlaken_interface_vc707.vhd
/core1990_interlaken/trunk/gateware/sources/interlaken/interlaken_interface_vc709.vhd
/core1990_interlaken/trunk/gateware/sources/interlaken/receiver
/core1990_interlaken/trunk/gateware/sources/interlaken/receiver/decoder.vhd
/core1990_interlaken/trunk/gateware/sources/interlaken/receiver/deframing_burst.vhd
/core1990_interlaken/trunk/gateware/sources/interlaken/receiver/deframing_meta.vhd
/core1990_interlaken/trunk/gateware/sources/interlaken/receiver/descrambler.vhd
/core1990_interlaken/trunk/gateware/sources/interlaken/receiver/interlaken_receiver.vhd
/core1990_interlaken/trunk/gateware/sources/interlaken/test
/core1990_interlaken/trunk/gateware/sources/interlaken/test/Core1990_Test.vhd
/core1990_interlaken/trunk/gateware/sources/interlaken/test/Core1990_verification.vhd
/core1990_interlaken/trunk/gateware/sources/interlaken/test/data_generator.vhd
/core1990_interlaken/trunk/gateware/sources/interlaken/test/pipeline.vhd
/core1990_interlaken/trunk/gateware/sources/interlaken/transceiver
/core1990_interlaken/trunk/gateware/sources/interlaken/transceiver/transceiver_10g_64b67b_block_sync_sm.vhd
/core1990_interlaken/trunk/gateware/sources/interlaken/transmitter
/core1990_interlaken/trunk/gateware/sources/interlaken/transmitter/encoder.vhd
/core1990_interlaken/trunk/gateware/sources/interlaken/transmitter/framing_burst.vhd
/core1990_interlaken/trunk/gateware/sources/interlaken/transmitter/framing_meta.vhd
/core1990_interlaken/trunk/gateware/sources/interlaken/transmitter/interlaken_transmitter.vhd
/core1990_interlaken/trunk/gateware/sources/interlaken/transmitter/scrambler.vhd
/core1990_interlaken/trunk/gateware/sources/interlaken_pkg.vhd
/core1990_interlaken/trunk/gateware/sources/interlaken_wrapper_vc707.vhd
/core1990_interlaken/trunk/gateware/sources/interlaken_wrapper_vc709.vhd
/core1990_interlaken/trunk/gateware/sources/ip_cores
/core1990_interlaken/trunk/gateware/sources/ip_cores/vc707
/core1990_interlaken/trunk/gateware/sources/ip_cores/vc707/clk_40MHz.xci
/core1990_interlaken/trunk/gateware/sources/ip_cores/vc707/ila_data.xci
/core1990_interlaken/trunk/gateware/sources/ip_cores/vc707/RX_FIFO.xci
/core1990_interlaken/trunk/gateware/sources/ip_cores/vc707/Transceiver_10g_64b67b.xci
/core1990_interlaken/trunk/gateware/sources/ip_cores/vc707/TX_FIFO.xci
/core1990_interlaken/trunk/gateware/sources/ip_cores/vc707/vio_0.xci
/core1990_interlaken/trunk/gateware/sources/ip_cores/vc709
/core1990_interlaken/trunk/gateware/sources/ip_cores/vc709/clk_40MHz.xci
/core1990_interlaken/trunk/gateware/sources/ip_cores/vc709/ila_data.xci
/core1990_interlaken/trunk/gateware/sources/ip_cores/vc709/RX_FIFO.xci
/core1990_interlaken/trunk/gateware/sources/ip_cores/vc709/Transceiver_10g_64b67b.xci
/core1990_interlaken/trunk/gateware/sources/ip_cores/vc709/TX_FIFO.xci
/core1990_interlaken/trunk/gateware/sources/ip_cores/vc709/vio_0.xci

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.