OpenCores
URL https://opencores.org/ocsvn/distributed_intelligence/distributed_intelligence/trunk

Subversion Repositories distributed_intelligence

[/] - Rev 8

Rev

Directory listing | View Log | Compare with Previous | RSS feed

Last modification

  • Rev 8, 2009-11-08 21:31:22 GMT
  • Author: leoel
  • Log message:
    * Added a testbench for bus_register_x16
    * Corrected bus_register_x16, it no longer uses a bus_access_x16

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.