OpenCores
URL https://opencores.org/ocsvn/gecko3/gecko3/trunk

Subversion Repositories gecko3

[/] - Rev 18

Rev

Directory listing | View Log | Compare with Previous | RSS feed

Last modification

  • Rev 18, 2010-01-15 10:36:10 GMT
  • Author: nussgipfel
  • Log message:
    I achieved now stable OUT transfers (from the PC to the FPGA) with working throtling (when the FPGA consumes data slower than the host delivers).
    The basics needed for this are implemented in the FPGA like handshaking with the FX2 and clock domain transistion from the Interface clock to the user defined system clock.

    in the gpif_com_test.vhd is a message rom, containing a prepared answer message to generate an IN transfer. this is needed for the next step.
Path
/gecko3/trunk/GECKO3COM/gecko3com-fw/firmware/gpif-design/gecko3main_fifo_transfer.gpf
/gecko3/trunk/GECKO3COM/gecko3com-fw/firmware/gpif-design/gecko3main_gpif.c
/gecko3/trunk/GECKO3COM/gecko3com-fw/firmware/src/gecko3com_gpif.c
/gecko3/trunk/GECKO3COM/gecko3com-fw/firmware/src/gecko3com_main.c
/gecko3/trunk/GECKO3COM/gecko3com-fw/gecko3com.iic
/gecko3/trunk/GECKO3COM/gecko3com-ip/core/chipscope-analyzer.cpj
/gecko3/trunk/GECKO3COM/gecko3com-ip/core/coregenerator_fifo_dualclock.ise
/gecko3/trunk/GECKO3COM/gecko3com-ip/core/fifo_dualclock.vhd
/gecko3/trunk/GECKO3COM/gecko3com-ip/core/GECKO3COM.ipf
/gecko3/trunk/GECKO3COM/gecko3com-ip/core/GECKO3COM_simple.ise
/gecko3/trunk/GECKO3COM/gecko3com-ip/core/GECKO3COM_simple.xise
/gecko3/trunk/GECKO3COM/gecko3com-ip/core/GECKO3COM_simple_prototype.ise
/gecko3/trunk/GECKO3COM/gecko3com-ip/core/GECKO3COM_simple_prototype.xise
/gecko3/trunk/GECKO3COM/gecko3com-ip/core/gecko3com_test_chipscope.cdc
/gecko3/trunk/GECKO3COM/gecko3com-ip/core/GECKO3main_prototype.ucf
/gecko3/trunk/GECKO3COM/gecko3com-ip/core/GECKO3main_v1.ucf
/gecko3/trunk/GECKO3COM/gecko3com-ip/core/gpif_com.vhd
/gecko3/trunk/GECKO3COM/gecko3com-ip/core/gpif_com_fsm.vhd
/gecko3/trunk/GECKO3COM/gecko3com-ip/core/gpif_com_test.vhd
/gecko3/trunk/GECKO3COM/gecko3com-ip/core/gpif_com_test_tb.vhd
/gecko3/trunk/GECKO3COM/gecko3com-ip/core/gpif_com_test_tb.wcfg
/gecko3/trunk/GECKO3COM/gecko3com-ip/core/message_rom.vhd
/gecko3/trunk/GECKO3COM/gecko3com-ip/core/usbtmc_idn_response_pkt.bin
/gecko3/trunk/GECKO3COM/gecko3com-ip/core/USB_TMC_cmp.vhd
/gecko3/trunk/GECKO3COM/gecko3com-ip/core/usb_tmc_ip_chipscope.cdc

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.