OpenCores
URL https://opencores.org/ocsvn/lfsr_randgen/lfsr_randgen/trunk

Subversion Repositories lfsr_randgen

[/] - Rev 2

Rev

Directory listing | View Log | Compare with Previous | RSS feed

Last modification

  • Rev 2, 2010-08-01 07:57:51 GMT
  • Author: lal87
  • Log message:
    V1 - for lfsr sizes from 3 to 168 bit.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.