OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [core/] [synthesis/] - Rev 62

Rev

Directory listing | View Log | Compare with Previous | RSS feed

Last modification

  • Rev 62, 2010-02-14 09:57:53 GMT
  • Author: olivier.girard
  • Log message:
    Add Xilinx synthesis environment for size&speed analysis.
Path
/openmsp430/trunk/core/synthesis/xilinx
/openmsp430/trunk/core/synthesis/xilinx/openMSP430_fpga.prj
/openmsp430/trunk/core/synthesis/xilinx/openMSP430_fpga.ucf
/openmsp430/trunk/core/synthesis/xilinx/run_analysis.area.log
/openmsp430/trunk/core/synthesis/xilinx/run_analysis.speed.log
/openmsp430/trunk/core/synthesis/xilinx/run_analysis.tcl
/openmsp430/trunk/core/synthesis/xilinx/src
/openmsp430/trunk/core/synthesis/xilinx/src/arch.v
/openmsp430/trunk/core/synthesis/xilinx/src/coregen
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/.lso
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/blk_mem_gen_ds512.pdf
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/coregen.cgp
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/coregen.log
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3.cgp
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3a.cgp
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3adsp.cgp
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3adsp_dmem.asy
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3adsp_dmem.gise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3adsp_dmem.ise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3adsp_dmem.ngc
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3adsp_dmem.sym
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3adsp_dmem.v
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3adsp_dmem.veo
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3adsp_dmem.vhd
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3adsp_dmem.vho
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3adsp_dmem.xco
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3adsp_dmem.xise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3adsp_dmem_flist.txt
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3adsp_dmem_readme.txt
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3adsp_dmem_xdb
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3adsp_dmem_xdb/tmp
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3adsp_dmem_xmdf.tcl
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3adsp_pmem.asy
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3adsp_pmem.gise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3adsp_pmem.ise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3adsp_pmem.ngc
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3adsp_pmem.sym
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3adsp_pmem.v
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3adsp_pmem.veo
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3adsp_pmem.vhd
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3adsp_pmem.vho
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3adsp_pmem.xco
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3adsp_pmem.xise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3adsp_pmem_flist.txt
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3adsp_pmem_readme.txt
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3adsp_pmem_xdb
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3adsp_pmem_xdb/tmp
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3adsp_pmem_xmdf.tcl
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3a_dmem.asy
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3a_dmem.gise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3a_dmem.ise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3a_dmem.ngc
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3a_dmem.v
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3a_dmem.veo
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3a_dmem.vhd
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3a_dmem.vho
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3a_dmem.xco
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3a_dmem.xise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3a_dmem_flist.txt
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3a_dmem_readme.txt
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3a_dmem_xdb
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3a_dmem_xdb/tmp
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3a_dmem_xmdf.tcl
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3a_pmem.gise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3a_pmem.ise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3a_pmem.ngc
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3a_pmem.v
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3a_pmem.veo
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3a_pmem.xco
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3a_pmem.xise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3a_pmem_flist.txt
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3a_pmem_readme.txt
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3a_pmem_xdb
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3a_pmem_xdb/tmp
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3a_pmem_xmdf.tcl
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3e.cgp
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3e_dmem.gise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3e_dmem.ise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3e_dmem.ngc
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3e_dmem.v
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3e_dmem.veo
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3e_dmem.xco
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3e_dmem.xise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3e_dmem_flist.txt
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3e_dmem_readme.txt
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3e_dmem_xdb
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3e_dmem_xdb/tmp
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3e_dmem_xmdf.tcl
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3e_pmem.gise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3e_pmem.ise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3e_pmem.ngc
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3e_pmem.v
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3e_pmem.veo
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3e_pmem.xco
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3e_pmem.xise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3e_pmem_flist.txt
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3e_pmem_readme.txt
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3e_pmem_xdb
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3e_pmem_xdb/tmp
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3e_pmem_xmdf.tcl
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3_dmem.gise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3_dmem.ise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3_dmem.ngc
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3_dmem.v
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3_dmem.veo
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3_dmem.xco
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3_dmem.xise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3_dmem_flist.txt
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3_dmem_readme.txt
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3_dmem_xdb
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3_dmem_xdb/tmp
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3_dmem_xmdf.tcl
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3_pmem.gise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3_pmem.ise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3_pmem.ngc
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3_pmem.v
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3_pmem.veo
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3_pmem.xco
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3_pmem.xise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3_pmem_flist.txt
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3_pmem_readme.txt
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3_pmem_xdb
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3_pmem_xdb/tmp
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan3_pmem_xmdf.tcl
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan6.cgp
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan6_dmem.gise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan6_dmem.ise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan6_dmem.ngc
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan6_dmem.v
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan6_dmem.veo
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan6_dmem.xco
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan6_dmem.xise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan6_dmem_flist.txt
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan6_dmem_readme.txt
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan6_dmem_xdb
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan6_dmem_xdb/tmp
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan6_dmem_xmdf.tcl
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan6_pmem.gise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan6_pmem.ise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan6_pmem.ngc
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan6_pmem.v
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan6_pmem.veo
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan6_pmem.xco
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan6_pmem.xise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan6_pmem_flist.txt
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan6_pmem_readme.txt
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan6_pmem_xdb
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan6_pmem_xdb/tmp
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/spartan6_pmem_xmdf.tcl
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/tmp
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/tmp/_cg
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex4.cgp
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex4lx.cgp
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex4_dmem.gise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex4_dmem.ise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex4_dmem.ngc
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex4_dmem.v
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex4_dmem.veo
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex4_dmem.xco
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex4_dmem.xise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex4_dmem_flist.txt
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex4_dmem_readme.txt
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex4_dmem_xdb
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex4_dmem_xdb/tmp
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex4_dmem_xmdf.tcl
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex4_pmem.gise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex4_pmem.ise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex4_pmem.ngc
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex4_pmem.v
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex4_pmem.veo
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex4_pmem.xco
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex4_pmem.xise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex4_pmem_flist.txt
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex4_pmem_readme.txt
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex4_pmem_xdb
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex4_pmem_xdb/tmp
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex4_pmem_xmdf.tcl
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex5.cgp
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex5_dmem.gise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex5_dmem.ise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex5_dmem.ngc
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex5_dmem.v
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex5_dmem.veo
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex5_dmem.xco
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex5_dmem.xise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex5_dmem_flist.txt
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex5_dmem_readme.txt
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex5_dmem_xdb
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex5_dmem_xdb/tmp
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex5_dmem_xmdf.tcl
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex5_pmem.gise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex5_pmem.ise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex5_pmem.ngc
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex5_pmem.v
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex5_pmem.veo
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex5_pmem.xco
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex5_pmem.xise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex5_pmem_flist.txt
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex5_pmem_readme.txt
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex5_pmem_xdb
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex5_pmem_xdb/tmp
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex5_pmem_xmdf.tcl
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex6.cgp
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex6_dmem.asy
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex6_dmem.gise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex6_dmem.ise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex6_dmem.ngc
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex6_dmem.v
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex6_dmem.veo
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex6_dmem.vhd
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex6_dmem.vho
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex6_dmem.xco
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex6_dmem.xise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex6_dmem_flist.txt
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex6_dmem_readme.txt
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex6_dmem_xdb
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex6_dmem_xdb/tmp
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex6_dmem_xmdf.tcl
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex6_pmem.asy
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex6_pmem.gise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex6_pmem.ise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex6_pmem.ngc
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex6_pmem.v
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex6_pmem.veo
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex6_pmem.vhd
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex6_pmem.vho
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex6_pmem.xco
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex6_pmem.xise
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex6_pmem_flist.txt
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex6_pmem_readme.txt
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex6_pmem_xdb
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex6_pmem_xdb/tmp
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/virtex6_pmem_xmdf.tcl
/openmsp430/trunk/core/synthesis/xilinx/src/coregen/_xmsgs
/openmsp430/trunk/core/synthesis/xilinx/src/openMSP430_defines.v
/openmsp430/trunk/core/synthesis/xilinx/src/openMSP430_fpga.v
/openmsp430/trunk/core/synthesis/xilinx/src/openMSP430_undefines.v
/openmsp430/trunk/core/synthesis/xilinx/src/timescale.v
/openmsp430/trunk/core/synthesis/xilinx/xst_verilog_spartan3.opt
/openmsp430/trunk/core/synthesis/xilinx/xst_verilog_spartan3a.opt
/openmsp430/trunk/core/synthesis/xilinx/xst_verilog_spartan3adsp.opt
/openmsp430/trunk/core/synthesis/xilinx/xst_verilog_spartan3e.opt
/openmsp430/trunk/core/synthesis/xilinx/xst_verilog_spartan6.opt
/openmsp430/trunk/core/synthesis/xilinx/xst_verilog_virtex4.opt
/openmsp430/trunk/core/synthesis/xilinx/xst_verilog_virtex5.opt
/openmsp430/trunk/core/synthesis/xilinx/xst_verilog_virtex6.opt

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.