OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [fpga/] [xilinx_avnet_lx9microbard/] [rtl/] [verilog/] - Rev 167

Rev

Directory listing | View Log | Compare with Previous | RSS feed

Last modification

  • Rev 167, 2012-12-06 21:04:46 GMT
  • Author: olivier.girard
  • Log message:
    Update LX9 Microboard FPGA example.
    It now includes a dual-core oMSP system with a shared 16kB program memory.
    Each core has its own 2kB data memory and an additional 2kB shared data memory.
Path
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/bench/verilog/msp_debug.v
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/bench/verilog/ram.v
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/bench/verilog/ram_16x1k_dp.v
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/bench/verilog/ram_16x1k_sp.v
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/bench/verilog/ram_16x2k.v
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/bench/verilog/ram_16x8k_dp.v
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/bench/verilog/ram_16x512.v
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/bench/verilog/ram_dp.v
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/bench/verilog/ram_sp.v
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/bench/verilog/registers.v
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/bench/verilog/registers_omsp0.v
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/bench/verilog/registers_omsp1.v
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/bench/verilog/tb_openMSP430_fpga.v
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/coregen.cgc
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/coregen.log
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp.asy
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp.gise
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp.ngc
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp.v
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp.veo
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp.xco
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp.xise
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/blk_mem_gen_v7_2_readme.txt
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/doc
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/doc/blk_mem_gen_v7_2_vinfo.html
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/doc/pg058-blk-mem-gen.pdf
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/example_design
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/example_design/ram_16x1k_dp_exdes.ucf
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/example_design/ram_16x1k_dp_exdes.vhd
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/example_design/ram_16x1k_dp_exdes.xdc
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/example_design/ram_16x1k_dp_prod.vhd
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/implement
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/implement/implement.bat
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/implement/implement.sh
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/implement/planAhead_ise.bat
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/implement/planAhead_ise.sh
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/implement/planAhead_ise.tcl
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/implement/xst.prj
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/implement/xst.scr
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/simulation
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/simulation/addr_gen.vhd
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/simulation/bmg_stim_gen.vhd
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/simulation/bmg_tb_pkg.vhd
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/simulation/checker.vhd
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/simulation/data_gen.vhd
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/simulation/functional
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/simulation/functional/simcmds.tcl
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/simulation/functional/simulate_isim.sh
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/simulation/functional/simulate_mti.bat
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/simulation/functional/simulate_mti.do
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/simulation/functional/simulate_mti.sh
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/simulation/functional/simulate_ncsim.sh
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/simulation/functional/simulate_vcs.sh
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/simulation/functional/ucli_commands.key
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/simulation/functional/vcs_session.tcl
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/simulation/functional/wave_mti.do
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/simulation/functional/wave_ncsim.sv
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/simulation/ram_16x1k_dp_synth.vhd
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/simulation/ram_16x1k_dp_tb.vhd
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/simulation/random.vhd
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/simulation/timing
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/simulation/timing/simcmds.tcl
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/simulation/timing/simulate_isim.sh
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/simulation/timing/simulate_mti.bat
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/simulation/timing/simulate_mti.do
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/simulation/timing/simulate_mti.sh
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/simulation/timing/simulate_ncsim.sh
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/simulation/timing/simulate_vcs.sh
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/simulation/timing/ucli_commands.key
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/simulation/timing/vcs_session.tcl
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/simulation/timing/wave_mti.do
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp/simulation/timing/wave_ncsim.sv
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp_flist.txt
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp_synth.v
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_dp_xmdf.tcl
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp.asy
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp.gise
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp.ngc
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp.v
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp.veo
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp.xco
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp.xise
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/blk_mem_gen_v7_2_readme.txt
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/doc
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/doc/blk_mem_gen_v7_2_vinfo.html
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/doc/pg058-blk-mem-gen.pdf
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/example_design
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/example_design/ram_16x1k_sp_exdes.ucf
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/example_design/ram_16x1k_sp_exdes.vhd
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/example_design/ram_16x1k_sp_exdes.xdc
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/example_design/ram_16x1k_sp_prod.vhd
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/implement
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/implement/implement.bat
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/implement/implement.sh
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/implement/planAhead_ise.bat
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/implement/planAhead_ise.sh
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/implement/planAhead_ise.tcl
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/implement/xst.prj
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/implement/xst.scr
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/simulation
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/simulation/addr_gen.vhd
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/simulation/bmg_stim_gen.vhd
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/simulation/bmg_tb_pkg.vhd
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/simulation/checker.vhd
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/simulation/data_gen.vhd
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/simulation/functional
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/simulation/functional/simcmds.tcl
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/simulation/functional/simulate_isim.sh
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/simulation/functional/simulate_mti.bat
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/simulation/functional/simulate_mti.do
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/simulation/functional/simulate_mti.sh
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/simulation/functional/simulate_ncsim.sh
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/simulation/functional/simulate_vcs.sh
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/simulation/functional/ucli_commands.key
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/simulation/functional/vcs_session.tcl
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/simulation/functional/wave_mti.do
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/simulation/functional/wave_ncsim.sv
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/simulation/ram_16x1k_sp_synth.vhd
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/simulation/ram_16x1k_sp_tb.vhd
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/simulation/random.vhd
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/simulation/timing
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/simulation/timing/simcmds.tcl
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/simulation/timing/simulate_isim.sh
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/simulation/timing/simulate_mti.bat
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/simulation/timing/simulate_mti.do
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/simulation/timing/simulate_mti.sh
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/simulation/timing/simulate_ncsim.sh
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/simulation/timing/simulate_vcs.sh
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/simulation/timing/ucli_commands.key
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/simulation/timing/vcs_session.tcl
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/simulation/timing/wave_mti.do
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/simulation/timing/wave_ncsim.sv
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp_flist.txt
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp_synth.v
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp_xmdf.tcl
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x2k
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x2k.asy
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x2k.gise
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x2k.ngc
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x2k.v
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x2k.veo
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x2k.xco
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x2k.xise
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x2k_flist.txt
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x2k_synth.v
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x2k_xmdf.tcl
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp.asy
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp.gise
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp.ngc
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp.v
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp.veo
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp.xco
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp.xise
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/blk_mem_gen_v7_2_readme.txt
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/doc
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/doc/blk_mem_gen_v7_2_vinfo.html
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/doc/pg058-blk-mem-gen.pdf
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/example_design
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/example_design/ram_16x8k_dp_exdes.ucf
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/example_design/ram_16x8k_dp_exdes.vhd
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/example_design/ram_16x8k_dp_exdes.xdc
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/example_design/ram_16x8k_dp_prod.vhd
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/implement
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/implement/implement.bat
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/implement/implement.sh
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/implement/planAhead_ise.bat
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/implement/planAhead_ise.sh
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/implement/planAhead_ise.tcl
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/implement/xst.prj
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/implement/xst.scr
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/simulation
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/simulation/addr_gen.vhd
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/simulation/bmg_stim_gen.vhd
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/simulation/bmg_tb_pkg.vhd
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/simulation/checker.vhd
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/simulation/data_gen.vhd
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/simulation/functional
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/simulation/functional/simcmds.tcl
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/simulation/functional/simulate_isim.sh
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/simulation/functional/simulate_mti.bat
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/simulation/functional/simulate_mti.do
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/simulation/functional/simulate_mti.sh
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/simulation/functional/simulate_ncsim.sh
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/simulation/functional/simulate_vcs.sh
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/simulation/functional/ucli_commands.key
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/simulation/functional/vcs_session.tcl
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/simulation/functional/wave_mti.do
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/simulation/functional/wave_ncsim.sv
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/simulation/ram_16x8k_dp_synth.vhd
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/simulation/ram_16x8k_dp_tb.vhd
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/simulation/random.vhd
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/simulation/timing
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/simulation/timing/simcmds.tcl
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/simulation/timing/simulate_isim.sh
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/simulation/timing/simulate_mti.bat
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/simulation/timing/simulate_mti.do
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/simulation/timing/simulate_mti.sh
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/simulation/timing/simulate_ncsim.sh
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/simulation/timing/simulate_vcs.sh
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/simulation/timing/ucli_commands.key
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/simulation/timing/vcs_session.tcl
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/simulation/timing/wave_mti.do
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp/simulation/timing/wave_ncsim.sv
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp_flist.txt
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp_synth.v
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x8k_dp_xmdf.tcl
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x512
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x512.asy
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x512.gise
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x512.ngc
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x512.v
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x512.veo
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x512.xco
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x512.xise
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x512_flist.txt
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x512_synth.v
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x512_xmdf.tcl
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/summary.log
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/tmp
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/omsp_system_0.v
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/openmsp430/openMSP430_defines.v
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/rtl/verilog/openMSP430_fpga.v
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/sim/rtl_sim/src/submit.f
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/sim/rtl_sim/src/submit.prj
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/software/leds/linker.x
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/software/leds/main.c
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/software/leds/omsp_system.h
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/synthesis/xilinx/0_create_bitstream.sh
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/synthesis/xilinx/1_initialize_pmem.sh
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/synthesis/xilinx/bitstreams/leds.bit
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/synthesis/xilinx/bitstreams/leds.mcs
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/synthesis/xilinx/bitstreams/openMSP430_fpga.bit
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/synthesis/xilinx/bitstreams/README.png
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/synthesis/xilinx/scripts/memory.bmm
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/synthesis/xilinx/scripts/openMSP430_fpga.prj
/openmsp430/trunk/fpga/xilinx_avnet_lx9microbard/synthesis/xilinx/scripts/openMSP430_fpga.ucf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.