OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] - Rev 295

Rev

Directory listing | View Log | Compare with Previous | RSS feed

Last modification

  • Rev 295, 2009-04-01 19:32:48 GMT
  • Author: arniml
  • Log message:
    - remove unsupported CVS tags
    - propset for Id
Path
/t48/trunk/bench/vhdl/if_timing-c.vhd
/t48/trunk/bench/vhdl/if_timing.vhd
/t48/trunk/bench/vhdl/t48_rom-lpm-a.vhd
/t48/trunk/bench/vhdl/t48_rom-lpm-c.vhd
/t48/trunk/bench/vhdl/t49_rom-lpm-a.vhd
/t48/trunk/bench/vhdl/t49_rom-lpm-c.vhd
/t48/trunk/bench/vhdl/tb-c.vhd
/t48/trunk/bench/vhdl/tb.vhd
/t48/trunk/bench/vhdl/tb_t8039-c.vhd
/t48/trunk/bench/vhdl/tb_t8039.vhd
/t48/trunk/bench/vhdl/tb_t8048-c.vhd
/t48/trunk/bench/vhdl/tb_t8048.vhd
/t48/trunk/bench/vhdl/tb_t8048_t8243-c.vhd
/t48/trunk/bench/vhdl/tb_t8048_t8243.vhd
/t48/trunk/bench/vhdl/tb_t8243-c.vhd
/t48/trunk/bench/vhdl/tb_t8243.vhd
/t48/trunk/CHANGELOG
/t48/trunk/COMPILE_LIST
/t48/trunk/KNOWN_BUGS
/t48/trunk/README
/t48/trunk/rtl/vhdl/alu-c.vhd
/t48/trunk/rtl/vhdl/alu.vhd
/t48/trunk/rtl/vhdl/alu_pack-p.vhd
/t48/trunk/rtl/vhdl/bus_mux-c.vhd
/t48/trunk/rtl/vhdl/bus_mux.vhd
/t48/trunk/rtl/vhdl/clock_ctrl-c.vhd
/t48/trunk/rtl/vhdl/clock_ctrl.vhd
/t48/trunk/rtl/vhdl/cond_branch-c.vhd
/t48/trunk/rtl/vhdl/cond_branch.vhd
/t48/trunk/rtl/vhdl/cond_branch_pack-p.vhd
/t48/trunk/rtl/vhdl/db_bus-c.vhd
/t48/trunk/rtl/vhdl/db_bus.vhd
/t48/trunk/rtl/vhdl/decoder-c.vhd
/t48/trunk/rtl/vhdl/decoder.vhd
/t48/trunk/rtl/vhdl/decoder_pack-p.vhd
/t48/trunk/rtl/vhdl/dmem_ctrl-c.vhd
/t48/trunk/rtl/vhdl/dmem_ctrl.vhd
/t48/trunk/rtl/vhdl/dmem_ctrl_pack-p.vhd
/t48/trunk/rtl/vhdl/int-c.vhd
/t48/trunk/rtl/vhdl/int.vhd
/t48/trunk/rtl/vhdl/p1-c.vhd
/t48/trunk/rtl/vhdl/p1.vhd
/t48/trunk/rtl/vhdl/p2-c.vhd
/t48/trunk/rtl/vhdl/p2.vhd
/t48/trunk/rtl/vhdl/pmem_ctrl-c.vhd
/t48/trunk/rtl/vhdl/pmem_ctrl.vhd
/t48/trunk/rtl/vhdl/pmem_ctrl_pack-p.vhd
/t48/trunk/rtl/vhdl/psw-c.vhd
/t48/trunk/rtl/vhdl/psw.vhd
/t48/trunk/rtl/vhdl/system/generic_ram_ena-c.vhd
/t48/trunk/rtl/vhdl/system/generic_ram_ena.vhd
/t48/trunk/rtl/vhdl/system/lpm_ram_dq.vhd
/t48/trunk/rtl/vhdl/system/lpm_rom.vhd
/t48/trunk/rtl/vhdl/system/t48_rom-e.vhd
/t48/trunk/rtl/vhdl/system/t48_rom-struct-a.vhd
/t48/trunk/rtl/vhdl/system/t48_rom-struct-c.vhd
/t48/trunk/rtl/vhdl/system/t48_system_comp_pack-p.vhd
/t48/trunk/rtl/vhdl/system/t49_rom-e.vhd
/t48/trunk/rtl/vhdl/system/t49_rom-struct-a.vhd
/t48/trunk/rtl/vhdl/system/t49_rom-struct-c.vhd
/t48/trunk/rtl/vhdl/system/t8039-c.vhd
/t48/trunk/rtl/vhdl/system/t8039.vhd
/t48/trunk/rtl/vhdl/system/t8039_notri-c.vhd
/t48/trunk/rtl/vhdl/system/t8039_notri.vhd
/t48/trunk/rtl/vhdl/system/t8048-c.vhd
/t48/trunk/rtl/vhdl/system/t8048.vhd
/t48/trunk/rtl/vhdl/system/t8048_notri-c.vhd
/t48/trunk/rtl/vhdl/system/t8048_notri.vhd
/t48/trunk/rtl/vhdl/system/t8050_wb-c.vhd
/t48/trunk/rtl/vhdl/system/t8050_wb.vhd
/t48/trunk/rtl/vhdl/system/wb_master-c.vhd
/t48/trunk/rtl/vhdl/system/wb_master.vhd
/t48/trunk/rtl/vhdl/t48_comp_pack-p.vhd
/t48/trunk/rtl/vhdl/t48_core-c.vhd
/t48/trunk/rtl/vhdl/t48_core.vhd
/t48/trunk/rtl/vhdl/t48_core_comp_pack-p.vhd
/t48/trunk/rtl/vhdl/t48_pack-p.vhd
/t48/trunk/rtl/vhdl/t48_tb_pack-p.vhd
/t48/trunk/rtl/vhdl/t8243/t8243-c.vhd
/t48/trunk/rtl/vhdl/t8243/t8243.vhd
/t48/trunk/rtl/vhdl/t8243/t8243_async_notri-c.vhd
/t48/trunk/rtl/vhdl/t8243/t8243_async_notri.vhd
/t48/trunk/rtl/vhdl/t8243/t8243_comp_pack-p.vhd
/t48/trunk/rtl/vhdl/t8243/t8243_core-c.vhd
/t48/trunk/rtl/vhdl/t8243/t8243_core.vhd
/t48/trunk/rtl/vhdl/t8243/t8243_sync_notri-c.vhd
/t48/trunk/rtl/vhdl/t8243/t8243_sync_notri.vhd
/t48/trunk/rtl/vhdl/timer-c.vhd
/t48/trunk/rtl/vhdl/timer.vhd
/t48/trunk/sw/i8039emu/i8039.c
/t48/trunk/sw/i8039emu/main.c
/t48/trunk/sw/i8039emu/memory.c
/t48/trunk/sw/run_regression.pl
/t48/trunk/sw/vcd2vec.pl
/t48/trunk/sw/vec2dump.pl
/t48/trunk/sw/verif/black_box/add/a_data/test.asm
/t48/trunk/sw/verif/black_box/add/ind_rr/test.asm
/t48/trunk/sw/verif/black_box/add/rr/test.asm
/t48/trunk/sw/verif/black_box/addc/a_data/test.asm
/t48/trunk/sw/verif/black_box/addc/ind_rr/test.asm
/t48/trunk/sw/verif/black_box/addc/rr/test.asm
/t48/trunk/sw/verif/black_box/anl/a_data/test.asm
/t48/trunk/sw/verif/black_box/anl/bus/test.asm
/t48/trunk/sw/verif/black_box/anl/ind_rr/test.asm
/t48/trunk/sw/verif/black_box/anl/pp/test.asm
/t48/trunk/sw/verif/black_box/anl/rr/test.asm
/t48/trunk/sw/verif/black_box/anld/test.asm
/t48/trunk/sw/verif/black_box/call/call_ret/test.asm
/t48/trunk/sw/verif/black_box/call/simple/test.asm
/t48/trunk/sw/verif/black_box/clr/a/test.asm
/t48/trunk/sw/verif/black_box/clr/c/test.asm
/t48/trunk/sw/verif/black_box/clr/f0/test.asm
/t48/trunk/sw/verif/black_box/clr/f1/test.asm
/t48/trunk/sw/verif/black_box/cnt/cnt/test.asm
/t48/trunk/sw/verif/black_box/cnt/int/test.asm
/t48/trunk/sw/verif/black_box/cpl/a/test.asm
/t48/trunk/sw/verif/black_box/cpl/c/test.asm
/t48/trunk/sw/verif/black_box/cpl/f0/test.asm
/t48/trunk/sw/verif/black_box/cpl/f1/test.asm
/t48/trunk/sw/verif/black_box/da/test.asm
/t48/trunk/sw/verif/black_box/dec/a/test.asm
/t48/trunk/sw/verif/black_box/dec/rr/test.asm
/t48/trunk/sw/verif/black_box/djnz/test.asm
/t48/trunk/sw/verif/black_box/expander/test.asm
/t48/trunk/sw/verif/black_box/in/test.asm
/t48/trunk/sw/verif/black_box/inc/a/test.asm
/t48/trunk/sw/verif/black_box/inc/ind_rr/test.asm
/t48/trunk/sw/verif/black_box/inc/rr/test.asm
/t48/trunk/sw/verif/black_box/ins/test.asm
/t48/trunk/sw/verif/black_box/int/jni/test.asm
/t48/trunk/sw/verif/black_box/int/simple_int_retr/test.asm
/t48/trunk/sw/verif/black_box/int/simple_jump_to/test.asm
/t48/trunk/sw/verif/black_box/jbb/jbb_55/test.asm
/t48/trunk/sw/verif/black_box/jbb/jbb_aa/test.asm
/t48/trunk/sw/verif/black_box/jbb/jbb_all_0/test.asm
/t48/trunk/sw/verif/black_box/jbb/jbb_all_1/test.asm
/t48/trunk/sw/verif/black_box/jc/test.asm
/t48/trunk/sw/verif/black_box/jmp/test.asm
/t48/trunk/sw/verif/black_box/jmpp/test.asm
/t48/trunk/sw/verif/black_box/jnc/test.asm
/t48/trunk/sw/verif/black_box/jnz/test.asm
/t48/trunk/sw/verif/black_box/jz/test.asm
/t48/trunk/sw/verif/black_box/mb/call_jmp/test.asm
/t48/trunk/sw/verif/black_box/mb/int/test.asm
/t48/trunk/sw/verif/black_box/mov/a_rr/data_00/test.asm
/t48/trunk/sw/verif/black_box/mov/a_rr/data_num/test.asm
/t48/trunk/sw/verif/black_box/mov/ind_rr/test.asm
/t48/trunk/sw/verif/black_box/mov/mov_rr_a/data_00/test.asm
/t48/trunk/sw/verif/black_box/mov/mov_rr_a/data_num/test.asm
/t48/trunk/sw/verif/black_box/movd/test.asm
/t48/trunk/sw/verif/black_box/movp/test.asm
/t48/trunk/sw/verif/black_box/movx/test.asm
/t48/trunk/sw/verif/black_box/orl/a_data/test.asm
/t48/trunk/sw/verif/black_box/orl/bus/test.asm
/t48/trunk/sw/verif/black_box/orl/ind_rr/test.asm
/t48/trunk/sw/verif/black_box/orl/pp/test.asm
/t48/trunk/sw/verif/black_box/orl/rr/test.asm
/t48/trunk/sw/verif/black_box/orld/test.asm
/t48/trunk/sw/verif/black_box/outl/bus/test.asm
/t48/trunk/sw/verif/black_box/outl/pp/test.asm
/t48/trunk/sw/verif/black_box/psw/test.asm
/t48/trunk/sw/verif/black_box/rb/int/test.asm
/t48/trunk/sw/verif/black_box/rb/misc/test.asm
/t48/trunk/sw/verif/black_box/rc/test.asm
/t48/trunk/sw/verif/black_box/rl/test.asm
/t48/trunk/sw/verif/black_box/swap/test.asm
/t48/trunk/sw/verif/black_box/tim/int/test.asm
/t48/trunk/sw/verif/black_box/tim/t/test.asm
/t48/trunk/sw/verif/black_box/tx/t0/ent0_clk/test.asm
/t48/trunk/sw/verif/black_box/tx/t0/t0/test.asm
/t48/trunk/sw/verif/black_box/tx/t1/test.asm
/t48/trunk/sw/verif/black_box/xch/ind_rr/test.asm
/t48/trunk/sw/verif/black_box/xch/rr/test.asm
/t48/trunk/sw/verif/black_box/xchd/test.asm
/t48/trunk/sw/verif/black_box/xrl/a_data/test.asm
/t48/trunk/sw/verif/black_box/xrl/ind_rr/test.asm
/t48/trunk/sw/verif/black_box/xrl/rr/test.asm
/t48/trunk/sw/verif/gp_sw/toggle/test.asm
/t48/trunk/sw/verif/white_box/int_on_call/test.asm
/t48/trunk/sw/verif/white_box/int_on_jmp/test.asm
/t48/trunk/sw/verif/white_box/int_on_mb1/test.asm
/t48/trunk/sw/verif/white_box/p1_port_reg_conflict/test.asm
/t48/trunk/sw/verif/white_box/p2_io_exp/test.asm
/t48/trunk/sw/verif/white_box/p2_port_reg_conflict/test.asm
/t48/trunk/sw/verif/white_box/pc_wrap_bit11/test.asm
/t48/trunk/sw/verif/white_box/psen_rd_wr_timing/test.asm
/t48/trunk/syn/t8048/b5x300/compile_project.tcl
/t48/trunk/syn/t8048/b5x300/gen_ise_project.tcl
/t48/trunk/syn/t8048/b5x300/Makefile

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.