OpenCores
URL https://opencores.org/ocsvn/viterbi_decoder_axi4s/viterbi_decoder_axi4s/trunk

Subversion Repositories viterbi_decoder_axi4s

[/] - Rev 6

Rev

Directory listing | View Log | Compare with Previous | RSS feed

Last modification

  • Rev 6, 2014-02-17 08:50:43 GMT
  • Author: mfehrenz
  • Log message:
    - adding better axi4s_buffer for better synthesis results
    - modifining testbench for more comprehensive testing
    - fixing various bugs

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.