OpenCores
no use no use 1/1 no use no use
Fusesoc Dependency Errors
by meltem on Apr 27, 2018
meltem
Posts: 9
Joined: May 7, 2014
Last seen: May 1, 2018
Hi all,

I am trying to run the test simulation for wb_sdram_ctrl module but get the following dependency error. I downloaded fusesoc and run through the following steps here (https://github.com/olofk/fusesoc) using cygwin. But no luck for the simulations.

When I try to fetch the vlog_tb_utils using "fusesoc fetch vlog_tb_utils", it downloads 1.1 automatically.

any ideas how can I fix this?

Thank you.


ERROR: Conflicting requirements:
Requirements: 'wb_sdram_ctrl == 0-2' +wb_sdram_ctrl-0-2 was ignored because it depends on missing packages
Requirements: 'wb_sdram_ctrl == 0-2'
Install command rule (+wb_sdram_ctrl-0-2)

Failed to resolve dependencies for wb_sdram_ctrl
RE: Fusesoc Dependency Errors
by olof on Apr 27, 2018
olof
Posts: 218
Joined: Feb 10, 2010
Last seen: Dec 17, 2018
I realize I have broken some dependencies with an earlier attempt to deprecate some cores. There are still some problems, but I fixed wb_sdram_ctrl now, so if you run "fusesoc update", you should hopefully have access to a newer version (0-r3) of wb_sdram_ctrl which fixes the problems.

FYI, I'm not checking OpenCores all that often, so it's better to file bug reports directly against FuseSoC or the core library where you see the problems

Let me know if it works

//Olof
RE: Fusesoc Dependency Errors
by meltem on Apr 27, 2018
meltem
Posts: 9
Joined: May 7, 2014
Last seen: May 1, 2018
Hi Olof,

Thank you for the answer.

I think wb_sdram_ctrl is fixed, no depency errors anymore.
However, I am having a problem with compiling the elf-loader on cygwin.

Btw, many cores have similar dependency problems, for example de0_nano (below). Should I just submit a bug report for each?

Thank you very much.

ERROR: Conflicting requirements:
Requirements: 'de0_nano == 0-0' +de0_nano-0-0 was ignored because it depends on missing packages
Requirements: 'de0_nano == 0-0'
Install command rule (+de0_nano-0-0)

Failed to resolve dependencies for de0_nano
RE: Fusesoc Dependency Errors
by avbacherov on Apr 29, 2018
avbacherov
Posts: 3
Joined: Mar 10, 2013
Last seen: Jan 8, 2023

ERROR: Conflicting requirements:
Requirements: 'de0_nano == 0-0' ...


It looks like I discussed with Olof the same issue here: https://github.com/olofk/fusesoc/issues/206

Short recommendation: "try to remove all the files called FUSESOC_IGNORE from ~/.local/share/fusesoc/orpsoc-cores". It works for me (I'm also CygWin user).
RE: Fusesoc Dependency Errors
by meltem on Apr 30, 2018
meltem
Posts: 9
Joined: May 7, 2014
Last seen: May 1, 2018
Thanks for the help. I followed the link you mentioned. It works now.
RE: Fusesoc Dependency Errors
by olof on May 1, 2018
olof
Posts: 218
Joined: Feb 10, 2010
Last seen: Dec 17, 2018
Again, sorry for the inconvenience. I will try to find time to do a proper fix, but happy that the workaround works for now

Cheers,
Olof
no use no use 1/1 no use no use
© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.