OpenCores
no use no use 1/1 no use no use
Doubt with the assigment of pins in Spartan3
by onriver_3 on Jul 15, 2009
onriver_3
Posts: 1
Joined: Nov 14, 2008
Last seen: Apr 20, 2010
Hi everybody!

I have a logic circuit programmed in VHDL that I want to implement in the SPartan 3 board with a XCS200 FPGA. The input must be connected to Vcc FPGA internal pin. My question is that when I am routing the inputs/outputs of my design in "Florpan area menu" of ISE 10.1, actually I not sure what pin I have to assign to my input to be connected to Vcc.

any help?

Cheers!
no use no use 1/1 no use no use
© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.