OpenCores
no use no use 1/1 no use no use
[VHDL PROBLEM] Won't concatenate
by fantomas2002ro on Jun 25, 2011
fantomas2002ro
Posts: 1
Joined: Jun 4, 2011
Last seen: Nov 23, 2014
Hello guy's, I have a small problem with a concatenation in vhdl.
I will post the code :
[code]Router_Nr_West i_data_vest
where DATA_TO_BE_ROUTE_NORTH_IN : in std_logic_vector(31 downto 0);
signal Router_Nr_West : std_logic_vector(7 downto 0);

I worck with a NanoBoard NB2 and Altium Designer Winter 09 and I am stuck at the simulation because the i_data_vest will have a value without the
Router_Nr_West. I attached a photo to ilustrate.

Thank's in advance.
untitled.JPG (57 kb)
RE: [VHDL PROBLEM] Won't concatenate
by julianmilthorpe on Jul 4, 2011
julianmilthorpe
Posts: 4
Joined: Jul 4, 2011
Last seen: Mar 17, 2018
Hi fantomas2002ro,

Without a little more information it's hard to determine what is exactly going on. It might be that the signal Router_Nr_West hasn't had time to be evaluated before being concatenated in the next step.
This would mean that the updated value (with + X"01"), will not propagate.

Try adding a delta delay to the Router_Nr_West assignment signal?

Have you tried another similar assignment statement? For example:

i_data_vest
If you posted more of the code, it might be easier to determine the issue.

Hope this helped.
RE: [VHDL PROBLEM] Won't concatenate
by jdoin on Aug 21, 2011
jdoin
Posts: 51
Joined: Sep 1, 2009
Last seen: Sep 27, 2024
I am stuck at the simulation because the i_data_vest will have a value without the
Router_Nr_West. I attached a photo to ilustrate.


I agree with julianmilthorpe, it seems you are expecting the signal assignment to take place instantaneously. The VHDL simulator engine will only effect the change in the next delta-cycle.
If you are describing sequential logic (a clocked process), that concatenation will take place on the "old" values, i.e., the results from the previous clock cycle.
If you are describing combinatorial logic, the process must have all the signals in the sensitivity list, and the concatenation will only be reflected in the "next" iteration, generally meaning that you will have a propagation delay in physical circuitry.

Can you please post at least the complete process around the assignment?
no use no use 1/1 no use no use
© copyright 1999-2025 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.