OpenCores
no use no use 1/1 no use no use
gtk wave not displaying waveform
by ragu on Nov 22, 2011
ragu
Posts: 29
Joined: Sep 12, 2011
Last seen: Sep 8, 2014
HI.

I written traffic program in fsm.after ran in ghdl simulator gtk wave not dispaying waveform .whats the problem?
commands used :ghdl -a tra.vhd
ghdl -e tra
ghdl -r tra --vcd=tra.vcd=$ --stop-time=100ns
gtkwave tra.vcd
library ieee;
use ieee.std_logic_1164.all;

entity tra is
port(rst,clk : in std_logic;
q : out std_logic_vector(2 downto 0));
end;

architecture fsm of tra is

type state_type is(red,green,yellow);

signal ps,ns1 : state_type;
begin
process(clk,rst)
begin
if(rst = '0')then
ps elsif clk'event and clk='1'then
ps end if;
end process;

process(ps,ns1)
begin
case ps is
when red =>
q ns1when green =>
q ns1 when yellow =>

q ns1 end case;
end process;
end fsm;

Thanks in advence

Regards
Raghavendra
no use no use 1/1 no use no use
© copyright 1999-2025 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.