OpenCores

Hardware Assisted IEEE 1588 IP Core

Issue List
String Literal Error #4
Open bilal2228 opened this issue about 6 years ago
bilal2228 commented about 6 years ago

While simulating the top module, it gives error that is:

Successfully generated DPI export object 'ptp_drv_bfm_sv.obj'.

** Error: C:/omnetpp-5.2/tools/win64/mingw64/bin/../lib/gcc/x86_64-w64-mingw32/7.1.0/../../../../x86_64-w64-mingw32/bin/ld.exe: skipping incompatible C:\Modeltech_6.1f\win32/mtipli.lib when searching for -lmtipli

C:/omnetpp-5.2/tools/win64/mingw64/bin/../lib/gcc/x86_64-w64-mingw32/7.1.0/../../../../x86_64-w64-mingw32/bin/ld.exe: skipping incompatible C:\Modeltech_6.1f\win32/mtipli.dll when searching for -lmtipli

C:/omnetpp-5.2/tools/win64/mingw64/bin/../lib/gcc/x86_64-w64-mingw32/7.1.0/../../../../x86_64-w64-mingw32/bin/ld.exe: skipping incompatible C:\Modeltech_6.1f\win32/mtipli.lib when searching for -lmtipli

C:/omnetpp-5.2/tools/win64/mingw64/bin/../lib/gcc/x86_64-w64-mingw32/7.1.0/../../../../x86_64-w64-mingw32/bin/ld.exe: skipping incompatible C:\Modeltech_6.1f\win32/mtipli.dll when searching for -lmtipli

C:/omnetpp-5.2/tools/win64/mingw64/bin/../lib/gcc/x86_64-w64-mingw32/7.1.0/../../../../x86_64-w64-mingw32/bin/ld.exe: skipping incompatible C:\Modeltech_6.1f\win32\mtipli.lib when searching for -lmtipli

C:/omnetpp-5.2/tools/win64/mingw64/bin/../lib/gcc/x86_64-w64-mingw32/7.1.0/../../../../x86_64-w64-mingw32/bin/ld.exe: cannot find -lmtipli

collect2.exe: error: ld returned 1 exit status

Error in macro ./sim_win.do line 42

C:/omnetpp-5.2/tools/win64/mingw64/bin/../lib/gcc/x86_64-w64-mingw32/7.1.0/../../../../x86_64-w64-mingw32/bin/ld.exe: skipping incompatible C:\Modeltech_6.1f\win32/mtipli.lib when searching for -lmtipli

C:/omnetpp-5.2/tools/win64/mingw64/bin/../lib/gcc/x86_64-w64-mingw32/7.1.0/../../../../x86_64-w64-mingw32/bin/ld.exe: skipping incompatible C:\Modeltech_6.1f\win32/mtipli.dll when searching for -lmtipli

C:/omnetpp-5.2/tools/win64/mingw64/bin/../lib/gcc/x86_64-w64-mingw32/7.1.0/../../../../x86_64-w64-mingw32/bin/ld.exe: skipping incompatible C:\Modeltech_6.1f\win32/mtipli.lib when searching for -lmtipli

C:/omnetpp-5.2/tools/win64/mingw64/bin/../lib/gcc/x86_64-w64-mingw32/7.1.0/../../../../x86_64-w64-mingw32/bin/ld.exe: skipping incompatible C:\Modeltech_6.1f\win32/mtipli.dll when searching for -lmtipli

C:/omnetpp-5.2/tools/win64/mingw64/bin/../lib/gcc/x86_64-w64-mingw32/7.1.0/../../../../x86_64-w64-mingw32/bin/ld.exe: skipping incompatible C:\Modeltech_6.1f\win32\mtipli.lib when searching for -lmtipli

C:/omnetpp-5.2/tools/win64/mingw64/bin/../lib/gcc/x86_64-w64-mingw32/7.1.0/../../../../x86_64-w64-mingw32/bin/ld.exe: cannot find -lmtipli

collect2.exe: error: ld returned 1 exit status

while executing

"exec gcc -shared -Bsymbolic -o ptp_drv_bfm_c.dll ptp_drv_bfm.o \

ptp_drv_bfm_sv.obj -L $::env(MODEL_TECH) -lmtipli"

ymwang commented about 6 years ago

it seems your mingw32 environment problem

marioallan commented over 3 years ago

Esse problema também aconteceu comigo. Esse erro aconteceu pois eu estava usando um Compliador C (gcc) de 64 bits, mas o ModelSim pelo que vi só trabalha com o de 32 bits. Fiz a simulação no S.O. Windows 10 e utilizei o ModelSim-Altera 10.1b (Quartus II 12.1) Starter Edition. Assim para resolver o problema utilizei o proprio compilador C de 32 bits do ModelSim localizado em: C:\altera\12.1\modelsim_ase\gcc-4.2.1-mingw32vc9

No Windows 10 para alterar o gcc utilizado, seguir as intruções abaixo:

Resumo

Simulação feita no Windows, assim antes de executar o arquivo "sim_win.do" no ModelSim, configurar o parâmetro no windows "variáveis de ambiente" para que o compilador C "gcc" seja reconhecido pelo ModelSim.

https://medium.com/@adsonrocha/como-escrever-e-compilar-programas-em-c-e-c-usando-o-atom-no-windows-ebbc4dccd03

Resumo de configuração:

1- Na barra de pesquisa do windows digitar "variáveis de ambiente"

2- Na janela Propriedades do Sistema clique na aba Avançado e depois em "Variáveis de Ambiente"

3- Vá até a seção Variáveis do Sistema e procure pela variável Path. Clique duas vezes sobre ela para abrir a lista.

4- Use o botão Novo e na linha digitável que é habilitada, insira o caminho da pasta onde se encontra o executável do compilador C "gcc". Esse caminho é referente ao local em que você escolheu para instalar o compilador no seu computador. O caminho aplicado foi "C:\altera\14.1\modelsim_ase\gcc-4.2.1-mingw32vc9\bin". Não foi preciso instalar um compilador C pois na própria pasta onde o ModelSim foi instalado, já há um compilador "C e C++". Clique em OK para salvar.

5- Para conferir se a instalação está correta, abra uma janela de terminal (janela de comandos — cmd) e "digite gcc --version". Se estiver tudo ok, você verá a versão do compilador instalado.

ESpero ter ajudado.

marioallan commented over 3 years ago

This problem also happened to me. This error happened because I was using a 64-bit C Compliant (gcc), but ModelSim from what I saw only works with the 32-bit. I did the simulation in S.O. Windows 10 and used the ModelSim-Altera 10.1b (Quartus II 12.1) Starter Edition. So to solve the problem I used the ModelSim 32-bit C compiler located at: C: \ Alter \ 12.1 \ modelsim_ase \ gcc-4.2.1-mingw32vc9

In Windows 10 to change the gcc used, follow the instructions below:

resume

Simulation performed in Windows, so before executing the "sim_win.do" file in ModelSim, configure the parameter in windows "environment variables" so that the C compiler "gcc" is recognized by ModelSim.

https://medium.com/@adsonrocha/como-escrever-e-compilar-programas-em-c-e-c-usando-o-atom-no-windows-ebbc4dccd03

Configuration summary:

1- In the windows search bar type "environment variables"

2- In the System Properties window click on the Advanced tab and then on "Environment Variables"

3- Go to the System Variables section and look for the Path variable. Double-click on it to open the list.

4- Use the New button and on the digitable line that is enabled, insert the path of the folder where the C compiler executable "gcc" is located. This path refers to the location where you chose to install the compiler on your computer. The applied path was "C: \ Alter \ 14.1 \ modelsim_ase \ gcc-4.2.1-mingw32vc9 \ bin". There was no need to install a C compiler because in the very folder where ModelSim was installed, there is already a "C and C ++" compiler. Click OK to save.

5- To check if the installation is correct, open a terminal window (command window - cmd) and "type gcc --version". If everything is ok, you will see the version of the compiler installed.

Hope this helps.


Assignee
No one
Labels
Bug