OpenCores
Issue List
MSB of Program Counter changed upon PC increment #11
Closed arniml opened this issue almost 19 years ago
arniml commented almost 19 years ago

Affected releases: 0.1 BETA, 0.2 BETA, 0.3 BETA, 0.4 BETA, 0.5 BETA

The current implementation of the Program Counter allows that the MSB (bit 11) is modifed when the PC increments at address 07FFh linear code execution. This is contrary to the description found in "The Expanded MCS-48 System" which states that bit 11 is only altered by JMP and CALL/RET but not by normal increment.

Fixed in: pmem_crtl.vhd 1.4 New regression test: white_box/pc_wrap_bit11 Fix will be included in next release.

arniml was assigned almost 19 years ago
arniml closed this almost 19 years ago

Assignee
arniml
Labels
Bug