OpenCores
Issue List
SDC file #2
Closed quiescent opened this issue over 13 years ago
quiescent commented over 13 years ago

Is there an SDC timing file for this project? I am trying to build this project for an Altera device, and the compiler is requesting an SDC file.

Critical Warning: Synopsys Design Constraints File file not found: 'uart2bus_top.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design.

myfingerhurt commented about 13 years ago

It's not a problem.You can generate it in your Timequest.

motilito closed this about 13 years ago
tshirato commented over 6 years ago

Type your text here


Assignee
No one
Labels
Request