OpenCores
Issue List
I raised it #16
Open tematpu opened this issue over 1 year ago
tematpu commented over 1 year ago

I totally remade it. 20-30 mistakes.. wrong edges, fake simulation delays. now it works fine on xilinx


Assignee
No one
Labels
Bug