OpenCores

miniled_local_dimming_algorithm

Project maintainers

Details

Name: local_dimming_algorithm
Created: Feb 15, 2022
Updated: Feb 15, 2022
SVN Updated: Feb 15, 2022
SVN: Browse
Latest version: download (might take a bit to start...)
Statistics: View
Bugs: 0 reported / 0 solved
Star0you like it: star it!

Other project properties

Category:Arithmetic core
Language:Verilog
Development status:Beta
Additional info:Design done, FPGA proven
WishBone compliant: No
WishBone version: n/a
License: LGPL

Description

This project is about miniled local dimming algorithm,what is local dimming ? which is differ from global dimming in display backlight, local dimming can improve the luminance and contrast by miniled ASIC, This algorithm process the resolution 3840x2160@60 video,extract the luminance data and transformed by spi-master, the spi-master interface which transfer luminance data will be connect to LED matrix. and the clk rate is 297.00 Mhz,and two pixel per clock. This design be verified in Xilinx Ultrascale+ FPGA. if you have any question or advice,contact me by Email m18518203017@163.com

The url of the svn repository is: https://opencores.org/websvn/listing/local_dimming_algorithm/local_dimming_algorithm