OpenCores

Uart (FIFO cpu interface) with SV Self-Checking Testbench

Project maintainers

Details

Name: uart_fifo_cpu_if_sv_testbench
Created: Jan 3, 2011
Updated: Jan 3, 2011
SVN Updated: Jan 4, 2011
SVN: Browse
Latest version: download (might take a bit to start...)
Statistics: View
Bugs: 0 reported / 0 solved
Star1you like it: star it!

Other project properties

Category:Communication controller
Language:VHDL
Development status:Planning
Additional info:
WishBone compliant: No
WishBone version: n/a
License: LGPL

Description

This is a fully synchronous (single clock domain, no asynchronous resets) UART with a FIFO buffered cpu interface and a SystemVerilog transaction based self-checking testbench. The VHDL RTL is written in a "single process" style to improve code readability and lets the synthesis tool infer the flops and gates.

The cpu interface is simple (address, data in, data out, read enable, write enable).
Transmit and receive FIFO size is configurable with a generic.
Baud rate is register programmable.
Currently only support no parity bit, 8 data bits and 1 stop bit (N81).